Add a 'revdelete-selected-file' message on Special:RevisionDelete
[lhc/web/wiklou.git] / languages / messages / MessagesTs.php
1 <?php
2 /** Tsonga (Xitsonga)
3 *
4 * See MessagesQqq.php for message documentation incl. usage of parameters
5 * To improve a translation please visit http://translatewiki.net
6 *
7 * @ingroup Language
8 * @file
9 *
10 * @author Thuvack
11 */
12
13 $messages = array(
14 # User preference toggles
15 'tog-underline' => 'Hlanganisa nkhwatiheto:',
16 'tog-hideminor' => 'Tumbeta mindzulamiso leyi tsongo',
17 'tog-hidepatrolled' => 'Tumbeta ku cinca loku languteriweke eka kucinca ka sweswinyana',
18 'tog-newpageshidepatrolled' => 'Tumbeta kucinca loku languteriweke eka nxaxamelo wa matluka lamantswa',
19 'tog-extendwatchlist' => 'Ndlandlamuxa ku komba kucinca hikwako, handle ka ku cinca ka sweswinyana ntsena',
20 'tog-usenewrc' => 'Tirhisa kucinca ka sweswinyana loku hlutiweke (yitirhisa ntsalo wa Java)',
21 'tog-numberheadings' => 'Tinhloko-mhaka leti hleriweke',
22 'tog-showtoolbar' => 'Komba xiangarhi xo cinca (yitirhisa ntsalo wa Java)',
23 'tog-editondblclick' => 'Lulamisa matluka hi ku thlava kambirhi (yitirhisa ntsalo wa Java)',
24 'tog-editsectiononrightclick' => 'Pfula ku lulamisa hi swiyenge hi ku thlava nhlokomhaka ya xiyenge (yitirhisa ntsalo wa Java)',
25 'tog-rememberpassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
26 'tog-watchcreations' => 'Hoxa matluka lawa ndzi matumbuluxaka eka leswi ndziswi languteke',
27 'tog-watchdefault' => 'Hoxa matluka lawa ndzi malulamisaka eka leswi ndziswi languteke',
28 'tog-watchmoves' => "Hoxa matluka lawa ndzi mayisaka kun'wana eka leswi ndziswi languteke",
29 'tog-watchdeletion' => 'Hoxa matluka lawa ndzi masulaka eka leswi ndziswi languteke',
30 'tog-minordefault' => 'Funga mindzulamiso hinkwayo leyi ntsongo handle ka xivangelo',
31 'tog-previewontop' => 'Komba kuringanisa ungasi lulamisa bokisi',
32 'tog-previewonfirst' => 'Komba kuringanisa eka ndzulamiso wo sungula',
33 'tog-enotifwatchlistpages' => 'ndzurhumele e-mail loko leswi ndzi swi languteke swi lulamisiwa',
34 'tog-enotifusertalkpages' => 'ndzurhumele e-mail loko tluka ra mbulavulo na mina ri lulamisiwa',
35 'tog-enotifminoredits' => 'ndzurhumele e-mail loko ku endleka mindzulamiso leyi ntsongo',
36 'tog-enotifrevealaddr' => 'Paluxa e-mail yamina eka mapapila lawa ndzimarhumelaka',
37 'tog-shownumberswatching' => 'Komba ntsengo wa vatirhisi lava hlaleleke tluka',
38 'tog-forceeditsummary' => 'Ndzivutisisi loko ndzinga hoxi nkomiso wa ndzulamiso lowu ndzi wu endleke',
39 'tog-watchlisthideown' => 'Tumbeta mindzulamiso ya mina eka leswi ndzi swi languteke',
40 'tog-watchlisthidebots' => 'Tumbeta mindzulamiso ya rhobhoti eka leswi ndzi swi languteke',
41 'tog-watchlisthideminor' => 'Tumbeta mindzulamiso leyi tsongo eka leswi ndzi swi languteke',
42 'tog-watchlisthideliu' => 'Tumbeta mindzulamiso ya vatirhisiwa lava ngheneke eka leswi ndzi swi languteke',
43 'tog-watchlisthideanons' => 'Tumbeta mindzulamiso ya vatirhisiwa lavanga tivekiki eka leswi ndzi swi languteke',
44 'tog-watchlisthidepatrolled' => 'Tumbeta mindzulamiso leyi languteriweke eka leswilangutiweke',
45 'tog-ccmeonemails' => "Ndzirhumele khopi ya ti e-mail leti ndzi ti rhumelaka van'wana",
46 'tog-showhiddencats' => 'Komba mintlawa leyi tumbetiweke',
47
48 'underline-always' => 'Nkarhi hinkwawo',
49 'underline-never' => "Swinga endleki ni kan'we",
50
51 # Dates
52 'sunday' => 'Sonto',
53 'monday' => 'Musombhunuko',
54 'tuesday' => 'Ravumbirhi',
55 'wednesday' => 'Ravunharhu',
56 'thursday' => 'Ravumune',
57 'friday' => 'Ravunthlanu',
58 'saturday' => 'Muqhivela',
59 'sun' => 'Sont',
60 'mon' => 'Musombhunuko',
61 'tue' => 'Ravumbirhi',
62 'wed' => 'Ravunharhu',
63 'thu' => 'Ravumune',
64 'fri' => 'Ravunthlanu',
65 'sat' => 'Muqhivela',
66 'january' => 'Sunguti',
67 'february' => 'Nyenyenyani',
68 'march' => 'Nyenyankulu',
69 'april' => 'Dzivamusoko',
70 'may_long' => 'Mudyaxihi',
71 'june' => 'Khotavuxika',
72 'july' => 'Mawuwani',
73 'august' => 'Mhawuri',
74 'september' => 'Ndzhati',
75 'october' => 'Nhlangula',
76 'november' => 'Hukuri',
77 'december' => "N'wendzamhala",
78 'january-gen' => 'Sunguti',
79 'february-gen' => 'Nyenyenyani',
80 'march-gen' => 'Nyenyankulu',
81 'april-gen' => 'Dzivamusoko',
82 'may-gen' => 'Mudyaxihi',
83 'june-gen' => 'Khotavuxika',
84 'july-gen' => 'Mawuwani',
85 'august-gen' => 'Mhawuri',
86 'september-gen' => 'Ndzhati',
87 'october-gen' => 'Nhlangula',
88 'november-gen' => 'Hukuri',
89 'december-gen' => "N'wendzamhala",
90 'jan' => 'Nsungu',
91 'feb' => 'Nyenye',
92 'mar' => 'Nyenya',
93 'apr' => 'Dziva',
94 'may' => 'Mudya',
95 'jun' => 'Khota',
96 'jul' => 'Mawu',
97 'aug' => 'Mhawu',
98 'sep' => 'Ndzha',
99 'oct' => 'Nhla',
100 'nov' => 'Huk',
101 'dec' => "N'wen",
102
103 # Categories related messages
104 'pagecategories' => '{{PLURAL:$1|Ntlawa|intlawa}}',
105 'category_header' => 'Matluka eka ntlawa wa "$1"',
106 'subcategories' => 'Mintlawa-ntsongo',
107 'category-media-header' => 'Matluka ya xifaniso kumbe mpfumawulo eka ntlawa wa "$1"',
108 'category-empty' => "''Ntlawa lowu eka nkarhi wa sweswi, wuhava matluka kumbe swifaniso.''",
109 'hidden-categories' => '{{PLURAL:$1|Ntlawa lowu tumbetiweke|Mintlawa leyi tumbetiweke}}',
110 'hidden-category-category' => 'Mintlawa leyi tumbetiweke',
111 'category-subcat-count' => '{{PLURAL:$2|Ntlawa lowu wukhome mintlawa-ntsongo leyi landzelaka.|Ntlawa lowu wuni {{PLURAL:$1|ntlwa-ntsongo|$1 wa mintlaw-ntsongo}}, eka $2 wa mintlawa-ntsongo.}}',
112 'category-subcat-count-limited' => 'Ntlawa lowu wuna {{PLURAL:$1|ntlawa-ntsongo lowu|$1 mintlawa-ntsongo leyi}} landzelaka.',
113 'category-article-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
114 'category-article-count-limited' => '{{PLURAL:$1|Tluka leri rile|$1 Matluka lawa male}} ndzeni ka ntlawa lowu.',
115 'category-file-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
116 'category-file-count-limited' => '{{PLURAL:$1|Fayili leyi yile|$1 Tifayili leti tile}} ndzeni ka ntlawa lowu.',
117 'listingcontinuesabbrev' => 'Mahlwe.',
118 'noindex-category' => 'Matluka lama kayivelaka xikombandlela xa tinhlokomhaka',
119 'broken-file-category' => 'Matluka lamangana minkhwekelo ya tifayili leyi tshovekeke',
120
121 'about' => 'Timhaka hi',
122 'article' => 'Matluka lama tsariweke',
123 'newwindow' => '(Yi pfula e ndhzawini yintswa)',
124 'cancel' => 'Thsika',
125 'moredotdotdot' => "Swin'wana...",
126 'mypage' => 'Tluka ramina',
127 'mytalk' => 'Mbulavulo namina',
128 'anontalk' => 'Vulavula na IP leyi',
129 'navigation' => 'Xikomba ndlela',
130 'and' => '&#32;nakambe',
131
132 # Cologne Blue skin
133 'qbfind' => 'Kuma',
134 'qbedit' => 'Lulamisa',
135 'qbpageoptions' => 'Tluka leri',
136 'qbmyoptions' => 'Matluka ya mina',
137 'faq' => 'FAQ',
138 'faqpage' => 'Project:FAQ',
139
140 # Vector skin
141 'vector-action-addsection' => 'Veka nholoko ya mhaka',
142 'vector-action-delete' => 'Sula',
143 'vector-action-move' => 'Yi sa kunwana',
144 'vector-action-protect' => 'Sirhelela',
145 'vector-action-undelete' => 'Cinca kusula',
146 'vector-action-unprotect' => 'Cinca kusirhelela',
147 'vector-view-create' => 'Tumbuluxa',
148 'vector-view-edit' => 'Lulamisa',
149 'vector-view-history' => 'Languta matimu',
150 'vector-view-view' => 'Hlaya',
151 'vector-view-viewsource' => 'Languta xihlovo',
152 'actions' => 'Swiendlo',
153 'namespaces' => 'Swikhomela viti',
154 'variants' => 'Tinxaka hi ku hambana',
155
156 'errorpagetitle' => 'Xihoxo',
157 'returnto' => 'Thlelela e $1.',
158 'tagline' => 'Kusuka e {{SITENAME}}',
159 'help' => 'Mpfuno',
160 'search' => 'Lava',
161 'searchbutton' => 'Lava',
162 'go' => 'Nghena',
163 'searcharticle' => 'Nghena',
164 'history' => 'Matimu yaTluka',
165 'history_short' => 'Matimu',
166 'updatedmarker' => 'leswi lunghisiweke kusukela loko nihetelela nxaxamelo lowu',
167 'printableversion' => 'Vona Ngangliso',
168 'permalink' => 'Xithlavinyeti xa nkarhi hinkwawo',
169 'print' => 'Gandlisa',
170 'view' => 'Langutisa',
171 'edit' => 'Lulamisa',
172 'create' => 'Tumbuluxa',
173 'editthispage' => 'Lulamisa Tluka leri',
174 'create-this-page' => 'Tumbuluxa tluka leri',
175 'delete' => 'Sula',
176 'deletethispage' => 'Sula tluka leri',
177 'undelete_short' => 'Cinca kusula {{PLURAL:$1|ndzulamiso|$1 mindzulamiso}}',
178 'viewdeleted_short' => 'Vona {{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
179 'protect' => 'Sirhelela',
180 'protect_change' => 'Cinca',
181 'protectthispage' => 'Sirhelela tluka leri',
182 'unprotect' => 'Cinca kusirhelela',
183 'unprotectthispage' => 'Cinca kusirhelela tluka leri',
184 'newpage' => 'Tluka rintswa',
185 'talkpage' => 'Burisana hi tluka leri',
186 'talkpagelinktext' => 'Mbulavulo',
187 'specialpage' => 'Tluka ro hlawuleka',
188 'personaltools' => "Switirhisi swa n'wini",
189 'postcomment' => 'Xiyenge xintswa',
190 'articlepage' => 'Langutisa tluka ra matsalwa',
191 'talk' => 'Mbulavuriswano',
192 'views' => 'Kulanguteka',
193 'toolbox' => 'Bokisi ra switirhisiwa',
194 'userpage' => 'Vona tluka ramutirhisi',
195 'projectpage' => 'Vona tluka ra phurojeki',
196 'imagepage' => 'Vona tluka ra fayili',
197 'mediawikipage' => 'Vona tluka ra hungu',
198 'templatepage' => 'Vona tluka ra xivumbiwa-ntirho',
199 'viewhelppage' => 'Vona tluka ra mpfuno',
200 'categorypage' => 'Vona tluka ra ntlawa',
201 'viewtalkpage' => 'Vona bulo',
202 'otherlanguages' => "Hi ti ndzimi tin'wana",
203 'redirectedfrom' => '(Ritlerisewe kusuka e $1)',
204 'redirectpagesub' => 'Tluka ro kongomisa',
205 'lastmodifiedat' => 'Tluka leri rihetelele ku lulamisiwa hi $1, nkarhi kuri $2.',
206 'viewcount' => "Tluka leri ri vhakeriwe {{PLURAL:$1|kan'we|makhambi ya $1}}.",
207 'protectedpage' => 'Tluka leri sirheleriweke',
208 'jumpto' => 'Tlulela eka:',
209 'jumptonavigation' => 'Xikomba-ndlela',
210 'jumptosearch' => 'Lava',
211 'pool-errorunknown' => 'xiphiqo xoka xingativeki',
212
213 # All link text and link target definitions of links into project namespace that get used by other message strings, with the exception of user group pages (see grouppage).
214 'aboutsite' => 'ta {{SITENAME}}',
215 'aboutpage' => 'Project:ta',
216 'copyright' => 'Matsalwa makumeka ehansi ka $1.',
217 'copyrightpage' => '{{ns:project}}:Swisivela ku encenyeta',
218 'currentevents' => 'Leswi endlekaka sweswi',
219 'currentevents-url' => 'Project:Leswi endlekaka sweswi',
220 'disclaimers' => 'Swi alanandzu',
221 'disclaimerpage' => 'Project:Swithsuxa nadzu hikuangara',
222 'edithelp' => 'Mpfuno hi ta mindzulamiso',
223 'helppage' => 'Help:Leswinga ndzeni',
224 'mainpage' => 'Tlukankulu',
225 'mainpage-description' => 'Tluka-Nkulu',
226 'policy-url' => 'Project:Policy',
227 'portal' => 'Ntsindza wa muganga',
228 'portal-url' => 'Project:Community Portal',
229 'privacy' => 'Privacy policy',
230 'privacypage' => 'Project:Privacy policy',
231
232 'badaccess' => 'Xihoxo hita mpfumelelo',
233 'badaccess-group0' => 'U hava mpumelelo wo endla xikombelo lexi.',
234 'badaccess-groups' => 'The action you have requested is limited to users in one of the groups $1.',
235
236 'versionrequired' => 'Version $1 of MediaWiki required',
237 'versionrequiredtext' => 'Version $1 of MediaWiki is required to use this page.
238 See [[Special:Version|version page]].',
239
240 'ok' => 'Hiswona',
241 'retrievedfrom' => 'ku suka e "$1"',
242 'youhavenewmessages' => 'U na $1 ($2).',
243 'youhavenewmessagesmulti' => 'Una marungula mantswa hi $1',
244 'editsection' => 'Lulamisa',
245 'editold' => 'Lulamisa',
246 'viewsourceold' => 'Languta vutsari-ntumbuluko',
247 'editlink' => 'Lulamisa',
248 'viewsourcelink' => 'Languta xihlovo',
249 'editsectionhint' => 'Lulamisa xiphemu: $1',
250 'toc' => 'Leswinga ndzeni',
251 'showtoc' => 'Kombisa',
252 'hidetoc' => 'Tumbeta',
253 'collapsible-collapse' => 'Tsongahata',
254 'collapsible-expand' => 'Ndlandlamuxa',
255 'thisisdeleted' => 'Langutisa kumbe Thlerisela $1?',
256 'viewdeleted' => 'Langutisa $1?',
257 'restorelink' => '{{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
258 'feedlinks' => 'Feed:',
259 'feed-invalid' => 'Invalid subscription feed type.',
260 'feed-unavailable' => 'Syndication feeds are not available on {{SITENAME}}',
261 'site-rss-feed' => '$1 RSS Feed',
262 'site-atom-feed' => '$1 Atom Feed',
263 'page-rss-feed' => '"$1" RSS Feed',
264 'page-atom-feed' => '"$1" Atom Feed',
265 'red-link-title' => '$1 (Tluka leri, arisi tsariwa)',
266 'sort-descending' => 'Xaxameta kuya ehansi',
267 'sort-ascending' => 'Xaxameta kuya ehenhla',
268
269 # Short words for each namespace, by default used in the namespace tab in monobook
270 'nstab-main' => 'Tluka',
271 'nstab-user' => 'Tluka ra mutirhisi',
272 'nstab-media' => 'Media page',
273 'nstab-special' => 'Tluka ro hlawuleka',
274 'nstab-project' => 'Tluka ra Phurojeki',
275 'nstab-image' => 'Fayili',
276 'nstab-mediawiki' => 'Rungula',
277 'nstab-template' => 'Template',
278 'nstab-help' => 'Tluka ra mpfuno',
279 'nstab-category' => 'Xiyenge',
280
281 # Main script and global functions
282 'nosuchaction' => 'Kuhava xiendlo xo tano',
283 'nosuchactiontext' => 'Xikombelo xa URL a xitwisisiwi hi wiki.
284 Ungava uhoxise kupeleta, kumbe u landze nkhwekelo lowu hoxeke.
285 Xiphiqo lixe xingava xikomba xigalana eka software ya {{SITENAME}}.',
286 'nosuchspecialpage' => 'Ku hava Tluka rero rohlawuleka',
287 'nospecialpagetext' => '<strong>U kombele tluka ro hlawuleka ro ka ri nga ri kona.</strong>
288
289 Vona nxaxamelo wa ma tluka yo hlawuleka e [[Special:SpecialPages|{{int:specialpages}}]].',
290
291 # General errors
292 'error' => 'Xihoxo',
293 'databaseerror' => 'Xihoxo xo Database',
294 'laggedslavemode' => 'Warning: Page may not contain recent updates.',
295 'readonly' => 'Database locked',
296 'enterlockreason' => 'Enter a reason for the lock, including an estimate of when the lock will be released',
297 'readonlytext' => 'The database is currently locked to new entries and other modifications, probably for routine database maintenance, after which it will be back to normal.
298
299 The administrator who locked it offered this explanation: $1',
300 'missing-article' => 'Tsalwa leri uri lavaka eka tluka leri vuriwaka "$1" $2, ari kumekanga eka nghula.
301
302 Leswi swinga vangiwa hi kuva u landzele xithlavinyeti lexi hundzeriweke hi nkari kumbe tluka leri suriweke.
303
304 Loko leswi swingari xona xivangelo, ungava ukume xigalana eka xitirhisi lexi.
305 Ukomberiwa ku pota xigalana lexi eka [[Special:ListUsers/sysop|mulanguteri]], u rhumela na xithlavinyeti xa URL.',
306 'missingarticle-rev' => '(revision#: $1)',
307 'missingarticle-diff' => '(Diff: $1, $2)',
308 'readonly_lag' => 'The database has been automatically locked while the slave database servers catch up to the master',
309 'internalerror' => 'Xihoxo xa le ndzeni',
310 'internalerror_info' => 'Internal error: $1',
311 'fileappenderrorread' => 'Hitsandzeke ku hlaya "$1" eku lulamiseni loku.',
312 'fileappenderror' => 'Hintsndzeke ku lulamisa "$1" leswaku yiva "$2".',
313 'filecopyerror' => 'Could not copy file "$1" to "$2".',
314 'filerenameerror' => 'Could not rename file "$1" to "$2".',
315 'filedeleteerror' => 'Could not delete file "$1".',
316 'directorycreateerror' => 'Could not create directory "$1".',
317 'filenotfound' => 'Could not find file "$1".',
318 'fileexistserror' => 'Unable to write to file "$1": file exists',
319 'unexpected' => 'Unexpected value: "$1"="$2".',
320 'formerror' => 'Error: could not submit form',
321 'badarticleerror' => 'This action cannot be performed on this page.',
322 'cannotdelete' => 'Could not delete the page or file specified.
323 It may have already been deleted by someone else.',
324 'cannotdelete-title' => 'Tluka "$1", ari suleki',
325 'badtitle' => 'Bad title',
326 'badtitletext' => 'The requested page title was invalid, empty, or an incorrectly linked inter-language or inter-wiki title.
327 It may contain one or more characters which cannot be used in titles.',
328 'querypage-no-updates' => 'Updates for this page are currently disabled.
329 Data here will not presently be refreshed.',
330 'viewsource' => 'Vona tsalwa-tumbuluxa',
331 'viewsource-title' => 'vona xihlovo xa $1',
332 'protectedpagetext' => 'Tluka leri riserheleriwile kusivele ndzulamiso.',
333 'viewsourcetext' => 'Unga langutisa naswona u kopa xihlovo xa tluka leri.',
334 'viewyourtext' => 'Unga langutisa naswona ukopa xihlovo xa "mindzulamiso yawena" eka tluka leri.',
335 'protectedinterface' => 'Tluka leri rina xihlanganisi xa software, naswona risirheleriwile kusivela kuthyakisiwa.',
336 'editinginterface' => "'''Tivonele:''' ulekululamiseni tlika leri tirhisiwaka ku komba matsalwa yo hlanganisa ya software.
337 Kucinca eka tluka leri kunga onha kulanguteka ka matluka eka vatirhisi van'wana.
338 Leswaku uvona kuhundzuluxa langutisa [//translatewiki.net/wiki/Main_Page?setlang=en translatewiki.net], Phurojeki yo hundzuluxa tindzimi ya MediaWiki.",
339 'cascadeprotected' => 'Tluka leri risirheleriwile eka mindzulamiso, hikwalaho kaleswi rikatsiweke eka {{PLURAL:$1|tluka, leri|matluka, lama}} sirheleriweke nswona mangana nhlawulo wo "katsakanya" lowu hlawuriweke:
340 $2',
341 'namespaceprotected' => "Uhava mfumelelo wo lulamisa matluka eka maviti-vundzawu bya '''$1'''.",
342 'customcssprotected' => "Uhava mpfumelelo wo ulamisa tluka leri ra CSS, hikuva rina minhlawulo ya mutirhisi un'wana.",
343 'customjsprotected' => "Uhava mpfumelelo wolulamisa tluka-xirhumiwa xa Java, hiuva ringava rikhome minhlawulo yamutirhisi un'wana.",
344 'ns-specialprotected' => 'Matluka yohlawuleka amalulamisiwi.',
345 'titleprotected' => 'Nhlokomhaka leyi yisirheleriwile ekutumbuluxiwa hi [[User:$1|$1]].
346 Hikwlaho ka xivangelo xa "\'\'$2\'\'".',
347
348 # Login and logout pages
349 'logouttext' => "'''Uhumile eka wiki leyi.'''
350
351 Ungaya emahlweni utirhisa {{SITENAME}} handle ko tipaluxa, kumbe unga <span class='plainlinks'>[$1 pfula unghena nakambe]</span> tani hi mutirhisa un'wana kumbe kumbe hivuxokoxoko bya wena.
352 Tsundzuka leswaku matluka man'wana mangaha komba onge upfule unghena eka wiki, loko ungasi sula tluka rakhompuyuta leri tsundzukaka matluka lawa uma vhakeleke.",
353 'yourname' => 'Vito ra vutirhisi',
354 'yourpassword' => 'Vito-mpfungulo:',
355 'yourpasswordagain' => 'Thlela u hoxa ritompfungulo ra wena:',
356 'remembermypassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
357 'login' => 'Pfula u nghena',
358 'nav-login-createaccount' => 'Pfula unghena / Tumbuluxa akhawunti',
359 'loginprompt' => 'U fanele ku pfumelela swipfuneti leswaku u pfula unghena eka {{SITENAME}}.',
360 'userlogin' => 'Pfula unghena / Tumbuluxa akhawunti',
361 'userloginnocreate' => 'Pfula unghena',
362 'logout' => 'Pfala u famba',
363 'userlogout' => 'Pfala u famba',
364 'notloggedin' => 'A wusipfula unghena',
365 'nologin' => 'Xana upfumala akhawunti? $1.',
366 'nologinlink' => 'Tumbuluxa akhawunti',
367 'createaccount' => 'Tumbuluxa akhawunti',
368 'gotaccount' => 'Xna una akhawunti hi khale? $1.',
369 'gotaccountlink' => 'Pfula unghena',
370 'userlogin-resetlink' => 'Xana u rivele vuxokoxoko bya wena byo pfula unghena?',
371 'createaccountreason' => 'Xivangelo:',
372 'loginsuccess' => "'''Ule ndzeni ka {{SITENAME}} tani hi \"\$1\".'''",
373 'mailmypassword' => 'Rhumela vito-mpfungulo lerintwsa',
374 'loginlanguagelabel' => 'Ririmi: $1',
375
376 # Edit page toolbar
377 'bold_sample' => 'Marito yo bumbula',
378 'bold_tip' => 'Marito yo bumbula',
379 'italic_sample' => 'Tsalawa ra xitaliki',
380 'italic_tip' => 'Tsalawa ra xitaliki',
381 'link_sample' => 'Khwekerisa nhlokomhaka',
382 'link_tip' => 'Xikhwekerisi xala ndzeni ka wiki leyi',
383 'extlink_sample' => 'http://www.example.com khwekerisa nhlokomhaka',
384 'extlink_tip' => 'Xikhwekerisi xa tluka ralehandle ka wiki leyi (tsundzuka xi rhangi xa http:// )',
385 'headline_sample' => 'tsala ra nhlokomhaka',
386 'headline_tip' => 'Nhloko mhaka ya xiyenge xa 2',
387 'nowiki_sample' => 'Hoxa xivulwa lexi nga sasekisiwangiki mavonele laha',
388 'nowiki_tip' => 'bakanya kuxongisa marito ka wiki',
389 'image_tip' => 'Fayili leyi angarhiweke',
390 'media_tip' => 'Xikhwekerisi xa fayili',
391 'sig_tip' => 'Nsayino wawena wurina mfungo wa nkarhi',
392 'hr_tip' => 'Ntila wo khwatiheta (wu tirhise hivukheta)',
393
394 # Edit pages
395 'summary' => 'Nkomiso:',
396 'minoredit' => 'Lowu i ndzulamiso wu tsongo',
397 'watchthis' => 'Langutisa tluka leri',
398 'savearticle' => 'Hlayisa tluka',
399 'preview' => 'Ringanisa',
400 'showpreview' => 'Komba kuringanisa',
401 'showdiff' => 'Komba ku cinca',
402 'anoneditwarning' => "'''Watsundzuxiwa:''' awu pfulanga unghena eka wiki leyi.
403 Adirese ya khompuyuta ya wena ya IP yita tsariwa eka matimu ya ku lulamisiwa ka tluka leri.",
404 'newarticle' => '(yintswa)',
405 'newarticletext' => "Ulandzele xikhwekerisi lexi kombaka tluka leringasi tsariwaka.
406 Leswaku u tumbuluxa tluka leri, tsala eka bokisi leringa e hansi (Nkambe unga ye eka [[{{MediaWiki:Helppage}}|tluka ra mpfuno]] kukuma vuxokoxoko lebyi engetelekeke).
407 Loko ufike eka tluka leri hixihoxo, thlava bhatheni leyinge '''thlelela'''.",
408 'noarticletext' => 'Kuhava matsalwa eka tluka leri.
409 Unga [[Special:Search/{{PAGENAME}}|lavalava nhlokomhaka ya tluka leri]] eka matluka man\'wana,
410 <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAMEE}}}} lavalava eka nghula leyiyelanaka],
411 kumbe [{{fullurl:{{FULLPAGENAME}}|action=edit}} u hlakarhelisa tluka leri]</span>.',
412 'noarticletext-nopermission' => 'Kuhava matsalwa eka tluka leri.
413 Unga [[Special:Search/{{PAGENAME}}|lavalava nhlokomhaka ya tluka leri]] endzeni ka matluka man\'wana,
414 kumbe u <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAMEE}}}} lavalava eka nghula leyiyelanaka]</span>.',
415 'previewnote' => "'''Lowu i ndzinganiso ntsena;
416 kucinca a ku sihlayisiwa!'''",
417 'editing' => 'Ulekululamiseni ka $1',
418 'editingsection' => 'Ndzulamiso wa $1 (Xiyenge)',
419 'copyrightwarning' => "Xiya leswaku minyikelo hinkwayo e ka {{SITENAME}} yi tekiwa yitshuxiwe e hansi ka $2 (Vona $1 ku kuma vuxokoxoko).
420 loko unga tsakeli leswaku vutsari bya wena byi lulamisiwa no aviwa handle ko tweriwa vusiwana, unga tsari laha.<br />
421 U hi tshembisa nakambe leswaku hi wena mutsari wa leswi nyikeriwaka laha, kumbe leswi u swinyikelaka u swi tekile e xihloveni xa lerivaleni kumbe laha kunga na mpfumelelo wa mani na mani.
422 '''UNGA RHUMERI MATSALWA LA MA SIRHELERIWEKE HANDLE KA MPFUMELELO!'''",
423 'templatesused' => '{{PLURAL:$1|Xivumbiwa ntirho lexi|Swivumbiwa ntirho leswi}} tirhisiweke eka tluka leri:',
424 'template-protected' => '(Ri sirheleriwile)',
425 'template-semiprotected' => '(lisirheleriwile switsanana)',
426 'hiddencategories' => 'Tluka leri i nandza wa {{PLURAL:$1|ntlwa lowu tumbetiweke|$1 mintlawa leyi tumbetiweke}}:',
427 'permissionserrorstext-withaction' => 'Awupfumeleriwanga ku $2, hikwalaho ka {{PLURAL:$1|wa xivangelo|wa swivangelo}}:',
428 'recreate-moveddeleted-warn' => "'''Tivonele: utumbuluxa tluka leri raha ku suriwa kungarikhale.'''
429
430 Nhlahluvisisa loko swifanerile ku ya emahlweni u lulamisa tluka leri.
431 Matimu yo sula no susa ma kombila laha ehansi ku ku pfuna:",
432 'moveddeleted-notice' => 'Tluka leri ri suriwile.
433 nhula ya minxaxamelo leyi kombaka ku suriwa na ku susiwa ka tluka leri ya kombiwa laha ehansi.',
434
435 # Parser/template warnings
436 'post-expand-template-inclusion-warning' => "'''Tivonele:''' xivumbiwa-ntirho xa ntsengo xitele ngopfu.
437 swivumbiwa-ntirho swin'wana aswinge xaxametiwi.",
438 'post-expand-template-inclusion-category' => 'Matluka lawa xivumbiwa-ntirho xa wona xi lavaka ntsengo ma hundze mpimo',
439 'post-expand-template-argument-warning' => "'''Tivonele:''' Tluka leri ritamele xin'we xaswi hlamuseri kumbe kutlula xa xivumbiwa ntirho lexi tlulaka mpiwo wo ndlndlamuxa xivumbiwa-ntirho lexi.
440 Swi hlamuseri leswi swi susiwile eka tluka leri.",
441 'post-expand-template-argument-category' => 'Matluka lama kayivelaka swihlamuseri leswitirhisiwaka hi swivumbiwa-ntirho',
442
443 # History pages
444 'viewpagelogs' => 'Vona nghula ya minxaxamelo ya tluka leri',
445 'currentrev-asof' => 'Mindzulamiso ya sweswinyana ya $1',
446 'revisionasof' => 'Ndzulamiso kusukela hi $1',
447 'revision-info' => 'Mindzulamiso ku sukela hi $1 leyi endliweke hi $2',
448 'previousrevision' => '← Ndzulamiso wakhale',
449 'nextrevision' => 'Ndzulamiso wa sweswinyana →',
450 'currentrevisionlink' => 'Ndzulamiso wasweswinyana',
451 'cur' => 'sweswi',
452 'next' => 'Leswilandzelaka',
453 'last' => 'Swo hetelela',
454 'page_first' => 'Xo sungula',
455 'histlegend' => "Leswaku uhambanisa mindzulamiso: thlava eka swifungu swa xirhendzevutana swa mindzulamiso leyi hambanaka ivi u thlava bhatheni leyi nge \"Enter\" eka Khibhodi ya wena kumbe bhatheni leyi kombiweke ehansi.<br />
456 Swihlamuseri: '''({{int:sweswi}})''' = kuhambana na ndzulamiso wa sweswinyana, '''({{int:khale}})''' = kuhambana na ndzulamiso lowu tlhandlamaka lowu, '''{{int:minoreditletter}}''' = ndzulamiso lowu tsanana.",
457 'history-fieldset-title' => 'Langutisa matimu',
458 'history-show-deleted' => 'Leswi suriweke ntsena',
459 'histfirst' => 'Swa khalenyana',
460 'histlast' => 'Swa sweswinyana',
461
462 # Revision feed
463 'history-feed-item-nocomment' => '$1 hi $2',
464
465 # Revision deletion
466 'rev-delundel' => 'Komba/Tumbeta',
467 'revdel-restore' => 'Cinca kuvoniwa',
468 'pagehist' => 'Matimu ya tluka',
469 'deletedhist' => 'Matimu lamasuriweke',
470
471 # Merge log
472 'revertmerge' => 'Hambanisa',
473
474 # Diffs
475 'history-title' => 'Matimu ya mindulamiso ya "$1"',
476 'lineno' => 'Ntila $1:',
477 'compareselectedversions' => 'Hambaniisa exikarhi ka mindzulamiso leyi langiweke',
478 'editundo' => 'Thlerisela',
479
480 # Search results
481 'searchresults' => 'Lavisisa eka mimbuyelo',
482 'searchresults-title' => 'Lavisisa "$1" eka mimbuyelo',
483 'prevn' => 'Leswihundzeke {{PLURAL:$1|$1}}',
484 'nextn' => 'Leswilandzelaka {{PLURAL:$1|$1}}',
485 'prevn-title' => '$1 {{PLURAL:$1|nkutlunya lo wu|minkutlunya leyi}} hundzeke',
486 'nextn-title' => '$1 {{PLURAL:$1|nkutlunya lowu|minkutlunya leyi}} landzelaka',
487 'shown-title' => "Komba $1 {{PLURAL:$1|mbuyelo|mimbuyelo}} eka tluka rin'wana na ri n'wana",
488 'viewprevnext' => 'Vona ($1 {{int:pipe-separator}} $2) ($3)',
489 'searchmenu-exists' => "'''Tluka leri vuriwaka \"[[:\$1]]\" ikhale ririkona eka wiki leyi.'''",
490 'searchmenu-new' => "'''Tumbuluxa tluka ra \"[[:\$1]]\" eka wiki leyi!'''",
491 'searchprofile-articles' => 'Matluka lama tsariweke',
492 'searchprofile-project' => 'Mpfuno na matluka ya phurojeki',
493 'searchprofile-images' => 'Tifayili ta mfpumawulo na swifaniso',
494 'searchprofile-everything' => 'Hinkwaswo',
495 'searchprofile-advanced' => 'Rharhangana',
496 'searchprofile-articles-tooltip' => 'Lavisisa eka $1',
497 'searchprofile-project-tooltip' => 'Lavisisa eka $1',
498 'searchprofile-images-tooltip' => 'Lava tifayili',
499 'searchprofile-everything-tooltip' => 'Lavalava eka matsalwa hinkwawo (kuhlanganisa na matluka ya mbulavulo)',
500 'searchprofile-advanced-tooltip' => 'Lavalava eka swisivela mavito leswi tolovelekeke',
501 'search-result-size' => '$1 ({{PLURAL:$2|1 viti|$2 maviti}})',
502 'search-result-category-size' => '{{PLURAL:$1|nandza|$1 wa malandza}} ({{PLURAL:$2|ntlawa-ntsongo|$2 wa mintlawa-ntsongo}}, {{PLURAL:$3|fayili|$3 wa tifayili}})',
503 'search-redirect' => '(nkongomiso kusaka e $1)',
504 'search-section' => '(Xiyenge $1)',
505 'search-suggest' => 'Xana uvula: $1',
506 'search-interwiki-more' => '(Leswi engetelekeke)',
507 'search-relatedarticle' => 'Leswi yelanaka',
508 'searchrelated' => 'Yelanaka',
509 'searchall' => 'Hinkwaswo',
510 'showingresults' => "Kombisa e hansi kufika eka {{PLURAL:$1|'''1''' mbuyelo|'''$1''' mimbuyelo}} Kusungula hi#'''$2'''.",
511 'showingresultsheader' => "{{PLURAL:$5|nkutlunya '''$1''' wa '''$3''' lowu|minkutlunya '''$1 - $2''' ya '''$3''' leyi}} yelanaka na '''$4'''",
512 'search-nonefound' => 'Kuhava mimbuyelo leyi yelanaka xikombelo lexi.',
513
514 # Preferences page
515 'mypreferences' => 'Minhlawulo ya mina',
516 'prefs-edits' => 'Ntsengo wa mindzulamiso:',
517 'skin-preview' => 'Ndzinganiso',
518 'prefs-datetime' => 'Siku na nkarhi',
519 'prefs-watchlist' => 'Nxaxamelo wa Leswivoniwaka',
520 'saveprefs' => 'Hlayisa',
521 'prefs-editing' => 'Kululamisa',
522 'youremail' => 'E-mail:',
523 'yourrealname' => 'Vito ra ntiyiso:',
524 'yourlanguage' => 'Ririmi:',
525 'prefs-help-email' => 'Adiresi ya e-mail ayibohi, kambe yita laveka leswaku u cinca ritompfungulo ra wena, loko swiendleka leswaku u ri rivala.',
526 'prefs-help-email-others' => "Unga langa leswaku van'wana va bula na wena hi e-mail hikutirhisa xikhwekeri eka tluka ra wena ravutirhis kumbe eka tluka ra mbulavulo.
527 Adiresi ya wena ya e-mail yitunberile loko van'wana va bula na wena.",
528
529 # Rights
530 'right-read' => 'Matluka yo Hlaya',
531 'right-edit' => 'Lulamisa ma tluka',
532 'right-createpage' => 'Tumbuluxa matluka (mangariki eka matluka ya mbulavulo)',
533 'right-createtalk' => 'Tumbuluxa matluka ya mbulavulo',
534 'right-move' => "Yisa matluka lawa kun'wana",
535 'right-move-subpages' => "yisa matluka lawa na matluka-ntsongo ya wona, kun'wana",
536 'right-delete' => 'Sula matluka lawa',
537
538 # Special:Log/newusers
539 'newuserlogpage' => 'Nghula ya nxaxamelo wa ku tumbuluxiwa ka vatirhisi',
540
541 # Associated actions - in the sentence "You do not have permission to X"
542 'action-read' => 'hlaya tluka leri',
543 'action-edit' => 'Lulamisa tluka leri',
544 'action-createpage' => 'tumuluxa matluka',
545 'action-createtalk' => 'tumbuluxa matluka ya mbulavulo',
546 'action-createaccount' => 'tumbuluxa akhawunti ya mutirhisi loyi',
547 'action-minoredit' => 'funga ndzulamiso lowu wulri lowintsanana',
548 'action-move' => 'Yisa tluka leri ndhzawini yinwana',
549 'action-move-subpages' => "Yisa tlukaleri na matluka-nstongo ya rona, endzawini yinw'ana",
550
551 # Recent changes
552 'nchanges' => '$1 {{PLURAL:$1|wa ndzulamiso|wa mindzulamiso}}',
553 'recentchanges' => 'Ku cinca ka sweswi-nyana',
554 'recentchanges-legend' => 'Tindlela to langutisa ku cinca ka sweswinyana',
555 'recentchanges-summary' => 'Landzelela mindzulamiso ya sweswinyana ya wiki leyi eka tluka leri.',
556 'recentchanges-feed-description' => 'Landzelela mindzulamiso ya sweswinyana eka wiki leyi hi xiphameri-hungu lexi.',
557 'recentchanges-label-newpage' => 'Ndzulamiso lowu wu tumbuluxe tluka rintswa',
558 'recentchanges-label-minor' => 'Lowu i ndzulamiso wu tsongo',
559 'recentchanges-label-bot' => 'Ndzulamiso lowu wu endliwe hi rhobhoto',
560 'recentchanges-label-unpatrolled' => 'Ndzulamiso lowu awusi languteriwa',
561 'rcnotefrom' => "Laha hansi kuxaxametiwe ku cinca kusukela hi '''$2''' (kuya ka '''$1''').",
562 'rclistfrom' => 'Komba mindzilamiso leyintswa kusukela eka $1',
563 'rcshowhideminor' => '$1 wa mindzulamiso leyi ntsanana',
564 'rcshowhidebots' => '$1 wati rhobhoto',
565 'rcshowhideliu' => '$1 wa va tirhisi lavanga kona sweswi',
566 'rcshowhideanons' => '$1 wa vatirhisi lava tumbeleke',
567 'rcshowhidepatr' => '$1 mundzulamiso leyi languteriweke',
568 'rcshowhidemine' => '$1 wa mindzulamiso ya mina',
569 'rclinks' => 'Kumba $1 ya ku cinca eka $2 wa masiku lamahundzeke<br />$3',
570 'diff' => 'Hamban',
571 'hist' => 'Matimu',
572 'hide' => 'Tumbeta',
573 'show' => 'Komba',
574 'minoreditletter' => 'Tsan',
575 'newpageletter' => 'Rintswa',
576 'boteditletter' => 'Rhob',
577 'rc-enhanced-expand' => 'Komba vuxokoxoko (yi tirhisa tswala ra Java)',
578 'rc-enhanced-hide' => 'Tumbeta vuxokoxoko',
579
580 # Recent changes linked
581 'recentchangeslinked' => 'Kuncica loku yelanaka',
582 'recentchangeslinked-feed' => 'Kuncica loku yelanaka',
583 'recentchangeslinked-toolbox' => 'Kuncica loku yelanaka',
584 'recentchangeslinked-title' => 'Kucinca loku yelanaka na "$1"',
585 'recentchangeslinked-summary' => "Lowu inxaxamelo wa kucinca kasweswinyana loku endliweke eka matluka la ma khwekelaka eka tluka leri u rilavaka (kumbe yinw'ana ya mitlawa leyi yelanaka).Matluka lawa [[Special:Watchlist|umalanguteke]] ma '''bumburisiwile'''.",
586 'recentchangeslinked-page' => 'Vito ratluka:',
587 'recentchangeslinked-to' => 'Komba kucinca eka matluka lama khwekelaka eka tluka leri ntsena',
588
589 # Upload
590 'upload' => 'Khandziyisa fayili',
591 'uploadlogpage' => 'Ngula ya nxaxamelo wa swilo leswi hoxiweke',
592 'filedesc' => 'Nkomiso',
593 'uploadedimage' => 'kuhoxiwe fayili ya "[[$1]]"',
594
595 'license' => 'Nawu wo pfumelela',
596 'license-header' => 'Nawu wo pfumelela',
597
598 # Special:ListFiles
599 'imgfile' => 'fayili',
600 'listfiles' => 'Nxaxamelo wa tifayili',
601 'listfiles_date' => 'Siku',
602 'listfiles_name' => 'vito',
603
604 # File description page
605 'file-anchor-link' => 'Fayili',
606 'filehist' => 'Matimu ya fayili',
607 'filehist-help' => 'thlava eka siku/nkarhi leswaku u vona leswi fayili ayirixiswona hi knarhi walowo',
608 'filehist-deleteall' => 'sula hinkwaswo',
609 'filehist-deleteone' => 'Dlaya',
610 'filehist-revert' => 'thlerisela',
611 'filehist-current' => 'Sweswinyana',
612 'filehist-datetime' => 'Siku/Nkarhi',
613 'filehist-thumb' => 'Xifanisonyana',
614 'filehist-thumbtext' => 'Xifaniso lexi tsongahatiweke kusukela hi $1',
615 'filehist-user' => 'Mutirhisi',
616 'filehist-dimensions' => 'Mpimo',
617 'filehist-comment' => 'Nhlamulo',
618 'imagelinks' => 'Ntsengo wakutirhisiwa ka fiyili leyi',
619 'linkstoimage' => ' {{PLURAL:$1|tluka leri rikhekela|$1 matluka lawa makhwekela}} eka fayili leyi:',
620 'nolinkstoimage' => 'Kuhava tluka leri khwekelaka eka fayili leyi',
621 'sharedupload-desc-here' => "Fayili leyi yi suka e $1 naswona swinga endleka leswaku yi tirhisiwa hiti phurojeki tin'wanana.
622 Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombiweke ehansi.",
623
624 # Random page
625 'randompage' => "Tluka rin'wana na rin'wana",
626
627 # Statistics
628 'statistics' => 'Mintsengo',
629
630 # Miscellaneous special pages
631 'nbytes' => '$1 {{PLURAL:$1|wa bayiti|wa tibayit}}',
632 'nmembers' => '$1 {{PLURAL:$1|museketeri| wa vaseketeri}}',
633 'prefixindex' => 'Matluka hinkwawo lama sungulaka hi',
634 'usercreated' => '{{GENDER:$3|u tumbuluxe}} hi siku ra $1 hinkarhi wa $2',
635 'newpages' => 'Matluka mantswa',
636 'move' => 'Yi sa kunwana',
637 'movethispage' => 'Yisa tluka leri ndhzawini yinwana',
638 'pager-newer-n' => '{{PLURAL:$1|xa khale|$1 swa khale}}',
639 'pager-older-n' => '{{PLURAL:$1|ra khale|$1 ya khale}}',
640
641 # Book sources
642 'booksources' => 'Swihlovo swatibuku',
643 'booksources-search-legend' => 'Lavalava swihlovo swa tibuku',
644 'booksources-go' => 'Nghena',
645
646 # Special:Log
647 'specialloguserlabel' => 'Mutirhisi:',
648 'log' => 'Nghula ya minxaxamelo',
649
650 # Special:AllPages
651 'allpages' => 'Matluka hinkwawo',
652 'alphaindexline' => '$1 kuya fika eka $2',
653 'allarticles' => 'Matluka hinkwawo',
654 'allpagessubmit' => 'Nghena',
655
656 # Special:Categories
657 'categories' => 'Mintlawa',
658
659 # Special:LinkSearch
660 'linksearch-ok' => 'Lava',
661 'linksearch-line' => '$1 yi khwekerisiwe kusuka eka $2',
662
663 # Special:ListGroupRights
664 'listgrouprights-members' => '(nxaxamelo wa valandzeri)',
665
666 # Email user
667 'emailuser' => 'rhumela mutirhisi loyi E-mail',
668
669 # Watchlist
670 'watchlist' => 'Leswi ndziswilanguteke',
671 'mywatchlist' => 'Leswi ndziswilanguteke',
672 'watchlistfor2' => 'Swa $1 $2',
673 'watch' => 'Languta',
674 'watchthispage' => 'Languta tluka leri',
675 'unwatch' => 'Ungalanguti',
676 'watchlist-details' => '{{PLURAL:$1|$1 tluka|$1 wa matluka}} eka nxaxamelo wa leswi uswilanguteke, kungasi hlayiwa matluka yu mbulavulo.',
677 'wlshowlast' => 'Komba $1 wati awara $2 wa masiku kumbe $3',
678 'watchlist-options' => 'Minhlawulo ya nxaxamelo wa leswilangutiweke',
679
680 # Displayed when you click the "watch" button and it is in the process of watching
681 'watching' => 'Ulangutile...',
682 'unwatching' => 'Utshika ku languta...',
683
684 # Delete
685 'actioncomplete' => 'Swiendlekile',
686 'actionfailed' => 'Switsandzile',
687 'dellogpage' => 'Nghula ya matluka lama suriweke',
688
689 # Rollback
690 'rollbacklink' => 'thlerisela ku cinca',
691
692 # Protect
693 'protectlogpage' => 'Nghula ya minxaxamelo ya matsalwa lama sirheleriweke',
694 'protectedarticle' => '"[[$1]]" risirheleriwile',
695
696 # Undelete
697 'undeletelink' => 'Langutisa/thlerisela',
698 'undeleteviewlink' => 'Langutisa',
699
700 # Namespace form on various pages
701 'namespace' => 'Swikhomela viti',
702 'invert' => 'Invert selection',
703 'blanknamespace' => '(Ntsindza)',
704
705 # Contributions
706 'contributions' => 'Minyikelo ya mutirhisi',
707 'contributions-title' => 'Minyikelo ya vutirhisi ya $1',
708 'mycontris' => 'Minyikelo ya mina',
709 'contribsub2' => 'For $1 ($2)',
710 'nocontribs' => 'Ku hava ku cinca loku kumiweke eka xiyenge lexi.',
711 'uctop' => '(Henhla)',
712 'month' => 'Kusukela e ka nhweti ya (kuya endhzaku):',
713 'year' => 'Ku sukela e ka lembe ra (kuya endhzaku):',
714
715 'sp-contributions-newbies' => 'Komba minyikela ya ti akhawunti tintswa ntsena',
716 'sp-contributions-newbies-sub' => 'Eka ti akhawunti ti ntswa',
717 'sp-contributions-blocklog' => 'Ngula ya nxaxamelo wa kusivela',
718 'sp-contributions-uploads' => 'Nxaxamelo wa ku nghenisa',
719 'sp-contributions-logs' => 'Nghula ya nxaxamelo',
720 'sp-contributions-talk' => 'Mbulavulo',
721 'sp-contributions-search' => 'Lava minyikelo',
722 'sp-contributions-username' => 'Hoxa Direse ya IP kumbe vito ra mutirhisi:',
723 'sp-contributions-toponly' => 'Komba ntsena mindzulamiso leyi yinga haku endliwa sweswinyana',
724 'sp-contributions-submit' => 'Lava',
725
726 # What links here
727 'whatlinkshere' => 'Leswi khwekelaka laha',
728 'whatlinkshere-title' => 'Matluka lama khwekelaka eka $1',
729 'whatlinkshere-page' => 'Tluka:',
730 'linkshere' => "Matluka lama landzelaka makhwekela eka '''[[:$1]]''':",
731 'nolinkshere' => "Kuhava matluka lama khwekelaka eka '''[[:$1]]'''.",
732 'isredirect' => 'Tluka ro kongomisa',
733 'istemplate' => 'Swisivela ndhzawu',
734 'isimage' => 'Xikhwekerisi xa fayili',
735 'whatlinkshere-prev' => '{{PLURAL:$1|leri hundzeka| $1 lama hundzeke}}',
736 'whatlinkshere-next' => '{{PLURAL:$1|lowu landzelaka| $1 leyi landzelaka}}',
737 'whatlinkshere-links' => '← Swikhwekerisi',
738 'whatlinkshere-hideredirs' => '$1 ya matluka yo thlerisela',
739 'whatlinkshere-hidetrans' => '$1 wa swisivela ndhzawu',
740 'whatlinkshere-hidelinks' => '$1 wa swikhwekeri',
741 'whatlinkshere-hideimages' => '$1 swikhwekerisi saw xifaniso',
742 'whatlinkshere-filters' => 'Tinhlelo',
743
744 # Block/unblock
745 'ipboptions' => "Ti awara timbirhi:2 hours,Siku rin'we:1 day,Masiku manharhu:3 days,Vhiki rin'we:1 week,Mavhiki manbirhi:2 weeks,Nhweti yin'we:1 month,Tinwheti tinharhu:3 months,Tinhweti ta ntsevu:6 months,Lembe rin'we:1 year,hilaha kungaheriki:infinite",
746 'ipblocklist' => 'Vatirhisi lava siveriweke',
747 'blocklink' => 'Sivela',
748 'unblocklink' => 'Cinca kusivela',
749 'change-blocklink' => 'Cinca xirhapa',
750 'contribslink' => 'Minyikelo',
751 'blocklogpage' => 'Ngula ya nxaxamelo wa kusiverwa ka vatirhisi',
752 'blocklogentry' => 'Nsivelo wa mutirhisi [[$1]] wu hela hi $2 $3',
753 'block-log-flags-nocreate' => 'Kupfula akhawunti swa arisiwa',
754
755 # Move page
756 'movelogpage' => 'Nghula ya nxaxamelo waku susiwa',
757 'revertmove' => 'thlerisela',
758
759 # Export
760 'export' => 'Rhumela matluka ehandle ka wiki',
761
762 # Namespace 8 related
763 'allmessagesname' => 'Vito',
764 'allmessagesdefault' => 'Tsalwa-hungu leri tolovelekeke',
765
766 # Thumbnails
767 'thumbnail-more' => 'Kurisa',
768 'thumbnail_error' => 'Kuvena xihoxo ekutumbuluxiweni ka xifaniso-ntongo hkwalaho ka: $1',
769
770 # Tooltip help for the actions
771 'tooltip-pt-userpage' => 'Tluka ra wena ra vutirhisi',
772 'tooltip-pt-mytalk' => 'Tluka ro vulavula ra wena',
773 'tooltip-pt-preferences' => 'Minyikelo ya mina',
774 'tooltip-pt-watchlist' => 'Nxaxamelo wa matluka lawa umalanguteleke kucinca',
775 'tooltip-pt-mycontris' => 'Nxaxamelo wa minyikelo hinkwayo ya wena',
776 'tooltip-pt-login' => 'Utsundzuxiwa ku pfula unghena; hambiswiritano, aswi bohi',
777 'tooltip-pt-logout' => 'pfala u famba',
778 'tooltip-ca-talk' => 'Mbulavuriswano hi tluka',
779 'tooltip-ca-edit' => 'Unga lulamisa tluka leri. Ukomberiwa ku komba kuringanisa ka ku cinca ka wena ungasi rihlayisa',
780 'tooltip-ca-addsection' => 'Sungula xiyenge lexinthswa',
781 'tooltip-ca-viewsource' => 'Papila leri risirheleriwile.
782 Unga vona xit\\holvo xa rona',
783 'tooltip-ca-history' => 'Mindzulamiso yakhale ya tluka leri',
784 'tooltip-ca-protect' => 'Sirhelela tluka leri',
785 'tooltip-ca-delete' => 'Sula tluka leri',
786 'tooltip-ca-move' => 'Veka tluka endhzawini yinwana',
787 'tooltip-ca-watch' => 'Hoxa tluka leri eka leswi uswilanguteke',
788 'tooltip-ca-unwatch' => 'Susa tluka leri eka leswi uswi languteke',
789 'tooltip-search' => 'Lavisisa {{SITENAME}}',
790 'tooltip-search-go' => 'Yana eka tluka leri fanaka na viti leri loko ririkona',
791 'tooltip-search-fulltext' => 'Lavisisa riviti leri eka matluka lawa',
792 'tooltip-p-logo' => 'Vhakela tluka-nkulu',
793 'tooltip-n-mainpage' => 'Endzela tlukankulu',
794 'tooltip-n-mainpage-description' => 'Vhakela tlukankulu',
795 'tooltip-n-portal' => 'Leswi engetelekeke hi phurojeki leyi, leswi undla swi endlaka, laha unga kumana kona switirhisiwa',
796 'tooltip-n-currentevents' => 'Kuma vuxokoxoko hi leswi endlekaka sweswi',
797 'tooltip-n-recentchanges' => 'Nxaxamelo wa kucinca ka sweswinyana eka wiki',
798 'tooltip-n-randompage' => "Vona tluka rin'wana na rin'wana",
799 'tooltip-n-help' => 'Ndzawu yo twisisa leswi',
800 'tooltip-t-whatlinkshere' => 'Nxaxamelo wa matluka lama khwekelaka laha',
801 'tooltip-t-recentchangeslinked' => 'Kucinca kasweswinyana ka matluka la ma thlavinyetiweke eka tluka leri',
802 'tooltip-feed-atom' => 'Vuhaxi bya Atom bya tluka leri',
803 'tooltip-t-contributions' => 'Nxaxamelo wa minyikelo ya mutirhisi loyi',
804 'tooltip-t-emailuser' => 'Rhumela mutirhisa loyi e-mail',
805 'tooltip-t-upload' => 'Khandziyisa tifayili',
806 'tooltip-t-specialpages' => 'Nxaxamelo wa matluka yo hlawuleka',
807 'tooltip-t-print' => 'Gangliso wa tluka leri',
808 'tooltip-t-permalink' => 'Xithlavinyeti xa nkarhi hinkwawo xa ndzulamiso wa tluka',
809 'tooltip-ca-nstab-main' => 'Langutisa tluka ra matsalwa',
810 'tooltip-ca-nstab-user' => 'Vona tluka ra mutirhisi',
811 'tooltip-ca-nstab-special' => 'Tluka leri rihlawulekile, awu pfumeleriwanga ku endla ndzulamiso eka rona hikukongoma',
812 'tooltip-ca-nstab-project' => 'Vona tluka ra phurojeki',
813 'tooltip-ca-nstab-image' => 'Vona tluka ra fayili leyi',
814 'tooltip-ca-nstab-template' => 'Langutisa xivumbiwa-ntirho',
815 'tooltip-ca-nstab-category' => 'Langutisa tluka ra ntlawa',
816 'tooltip-minoredit' => 'Fungha ndzulamiso lowu wuri lowu tsanana',
817 'tooltip-save' => 'Hlayiso ku cinca ka wena',
818 'tooltip-preview' => 'Ringanisa ku cinca loku uku endleke, Tirhisa Xitirhisiwa lexi ungasi hlayisa tluka leri!',
819 'tooltip-diff' => 'Komba kucinca loku uku endleke aka xivulwa',
820 'tooltip-compareselectedversions' => 'Vona kuhambana exikarhi ka mindzulamiso leyi uyilangeke ya tluka leri',
821 'tooltip-watch' => 'Hoxa tluka leri eka nxaxamelo wa matluka lawa umalanguteke',
822 'tooltip-rollback' => 'Xirhangi "Thlerisela" xita thlerisela ku cinca lokuendliweke hi mutirhisi wo hetelela eka tluka leri hi ku thlava kan\'we',
823 'tooltip-undo' => 'xi angi "thlerisela" xithlerisela ndzulamiso lowu naswona xi pfula foromo yo lulamisa eka matsamelo yo ringanisa ndzulamiso. Yipfumela leswaku u engetela xivangela xa ndzulamiso lowu eka nkomiso.',
824 'tooltip-summary' => 'Tsala nkomiso',
825
826 # Browsing diffs
827 'previousdiff' => '← Ndzulamiso wakhale',
828 'nextdiff' => 'Ndzulamiso lowu ntswa →',
829
830 # Media information
831 'file-info-size' => '$1 × $2 ku anama na leha hi ti phikisele, Vukulu bya fayili: $3, muxaka waMIME: $4',
832 'file-nohires' => 'Xifaniso lexi axikuriseki kuhundza laha.',
833 'svg-long-desc' => 'Fayili ya SVG, vukulu lebyi ringaneke $1 × $2 hi ti phikisele, vukulu bya fayili: $3',
834 'show-big-image' => 'kuleha na ku anama hixitalo',
835
836 # Bad image list
837 'bad_image_list' => 'Minxaxamelo leyi landzelaka yi andlariwe hindlela leyi:
838
839 nxaxamelo wa mintila (Mintila leyi sungulaka hi *) ntsena le yi kombiwaka.
840 Xithlavinyeti xo sungula eka ntila i xithlavinyeta fayili leyi onhiweke.
841 Swithlavinyeti leswi engetelekeke eka ntila lowu fanaka swilangutiwa swiri swihambukisi, hileswaku matluka lawa fiyili yinga kumekaka kona endzeni.',
842
843 # Metadata
844 'metadata' => 'Nghula ya vuxokoxoko',
845 'metadata-help' => 'Fayili leyi yi khome vuxokoxoko lebyi engetelekeke, swingaendleka yi hoxiwile kusuka eka Khemera kumbe muchini wo gandlisa lowu tirhisiweke ku yi tumbuluxa.
846 Loko fayili yi antswisiwile kusukela eka matshamelo ya yona yo sungula, vuxokoxoko bya yona byinga va byi cincile.',
847 'metadata-fields' => "Vuxokoxoko bya xifaniso lexi nga eka hungu leri byi ta kombiwa eka tluka leri kombaka xifaniso lexi loko tafula ra nxaxamela wa vuxokoxoko ri pfariwa.
848 Lebyi n'wana vuxokoxoko bya finiso byitumbetiwile.
849 * make
850 * model
851 * datetimeoriginal
852 * exposuretime
853 * fnumber
854 * isospeedratings
855 * focallength
856 * artist
857 * copyright
858 * imagedescription
859 * gpslatitude
860 * gpslongitude
861 * gpsaltitude",
862
863 # 'all' in various places, this might be different for inflected languages
864 'watchlistall2' => 'Hinkwawo',
865 'namespacesall' => 'Hinkwawo',
866 'monthsall' => 'hikwato',
867
868 # Watchlist editing tools
869 'watchlisttools-view' => 'Vona kucinca loku yelanaka',
870 'watchlisttools-edit' => 'Langutisa naswona u lulamisa nxaxamelo wa leswilangutiweke',
871 'watchlisttools-raw' => 'Lulamisa nxaxamelo-mbisi wa leswilangutiweke',
872
873 # Core parser functions
874 'duplicate-defaultsort' => '\'\'\'Tivonele\'\'\' mpfungulo wo hluta wa "$2" wu rhangela lowa "$1"',
875
876 # Special:SpecialPages
877 'specialpages' => 'Matluka yo hlawuleka',
878
879 # External image whitelist
880 'external_image_whitelist' => ' #Tshika ntila lowu wunga cinciwanga<pre>
881 #Hoxa hlamuselo lowu tolovelekeke (xiphemu lexi nga exikarhi ka //) laha hansi
882 #Swita hlanganisiwa na tiURL to swifaniso swa le handle (leswi khwekerisiweke)
883 #Leswi yelanaka swi ta kombiwa swiri swifaniso, lokoswingaritano kuta kombiwa ntsena swikhwekerisi swa xifaniso
884 #Mintila leyi sungulaka # yivona kuri ma vonele/nlhamulo
885 #Xi lava marito lama xaxametiweke hi marito-nkulu na lama tsongo
886
887 #hoxa swiphemu hinkwaswo swa regex ehenhla ka ntilalowu. Tshika ntila lowu wuri leswi wunga xiswona</pre>',
888
889 # Special:Tags
890 'tag-filter' => 'Xihluti xa [[Special:Tags|Xi angi]]:',
891
892 # Special:ExpandTemplates
893 'expand_templates_ok' => 'Hiswona',
894 'expand_templates_preview' => 'Ringanisa',
895
896 );