X-Git-Url: https://git.heureux-cyclage.org/?a=blobdiff_plain;f=includes%2Fapi%2FApiFormatWddx.php;h=c18353fe456f50f8c2e97fee3157425dafe8f122;hb=2ec08fa5f0ba0d80aaa2f160cb323b0b784219f3;hp=8662a64b8d3f45455db1e903697639a8a5e889c6;hpb=a756c3b753e34a479da69a88a3176fd2d3e7cfa2;p=lhc%2Fweb%2Fwiklou.git diff --git a/includes/api/ApiFormatWddx.php b/includes/api/ApiFormatWddx.php index 8662a64b8d..c18353fe45 100644 --- a/includes/api/ApiFormatWddx.php +++ b/includes/api/ApiFormatWddx.php @@ -38,8 +38,20 @@ class ApiFormatWddx extends ApiFormatBase { public function execute() { $this->markDeprecated(); + $data = $this->getResult()->getResultData( null, array( + 'BC' => array(), + 'Types' => array( 'AssocAsObject' => true ), + 'Strip' => 'all', + ) ); + if ( !$this->getIsHtml() && !static::useSlowPrinter() ) { - $this->printText( wddx_serialize_value( $this->getResultData() ) ); + $txt = wddx_serialize_value( $data ); + $txt = str_replace( + 'stdClass', + '', + $txt + ); + $this->printText( $txt ); } else { // Don't do newlines and indentation if we weren't asked // for pretty output @@ -49,7 +61,7 @@ class ApiFormatWddx extends ApiFormatBase { $this->printText( "$nl" ); $this->printText( "$indstr
$nl" ); $this->printText( "$indstr$nl" ); - $this->slowWddxPrinter( $this->getResultData(), 4 ); + $this->slowWddxPrinter( $data, 4 ); $this->printText( "$indstr$nl" ); $this->printText( "$nl" ); } @@ -102,34 +114,37 @@ class ApiFormatWddx extends ApiFormatBase { $indstr = ( $this->getIsHtml() ? str_repeat( ' ', $indent ) : '' ); $indstr2 = ( $this->getIsHtml() ? str_repeat( ' ', $indent + 2 ) : '' ); $nl = ( $this->getIsHtml() ? "\n" : '' ); + if ( is_array( $elemValue ) ) { - // Check whether we've got an associative array () - // or a regular array () $cnt = count( $elemValue ); - if ( $cnt == 0 || array_keys( $elemValue ) === range( 0, $cnt - 1 ) ) { - // Regular array - $this->printText( $indstr . Xml::element( 'array', array( - 'length' => $cnt ), null ) . $nl ); - foreach ( $elemValue as $subElemValue ) { - $this->slowWddxPrinter( $subElemValue, $indent + 2 ); - } - $this->printText( "$indstr$nl" ); - } else { - // Associative array () - $this->printText( "$indstr$nl" ); - foreach ( $elemValue as $subElemName => $subElemValue ) { - $this->printText( $indstr2 . Xml::element( 'var', array( - 'name' => $subElemName - ), null ) . $nl ); - $this->slowWddxPrinter( $subElemValue, $indent + 4 ); - $this->printText( "$indstr2$nl" ); - } - $this->printText( "$indstr$nl" ); + if ( $cnt != 0 && array_keys( $elemValue ) !== range( 0, $cnt - 1 ) ) { + $elemValue = (object)$elemValue; + } + } + + if ( is_array( $elemValue ) ) { + // Regular array + $this->printText( $indstr . Xml::element( 'array', array( + 'length' => count( $elemValue ) ), null ) . $nl ); + foreach ( $elemValue as $subElemValue ) { + $this->slowWddxPrinter( $subElemValue, $indent + 2 ); + } + $this->printText( "$indstr$nl" ); + } elseif ( is_object( $elemValue ) ) { + // Associative array () + $this->printText( "$indstr$nl" ); + foreach ( $elemValue as $subElemName => $subElemValue ) { + $this->printText( $indstr2 . Xml::element( 'var', array( + 'name' => $subElemName + ), null ) . $nl ); + $this->slowWddxPrinter( $subElemValue, $indent + 4 ); + $this->printText( "$indstr2$nl" ); } + $this->printText( "$indstr$nl" ); } elseif ( is_int( $elemValue ) || is_float( $elemValue ) ) { $this->printText( $indstr . Xml::element( 'number', null, $elemValue ) . $nl ); } elseif ( is_string( $elemValue ) ) { - $this->printText( $indstr . Xml::element( 'string', null, $elemValue ) . $nl ); + $this->printText( $indstr . Xml::element( 'string', null, $elemValue, false ) . $nl ); } elseif ( is_bool( $elemValue ) ) { $this->printText( $indstr . Xml::element( 'boolean', array( 'value' => $elemValue ? 'true' : 'false' ) ) . $nl