Fixed dependencies for jquery.collapsibleTabs
[lhc/web/wiklou.git] / languages / messages / MessagesTs.php
index a99b555..726ecba 100644 (file)
@@ -38,13 +38,17 @@ $messages = array(
 'tog-enotifminoredits' => 'ndzurhumele e-mail loko ku endleka mindzulamiso leyi ntsongo',
 'tog-enotifrevealaddr' => 'Paluxa e-mail yamina eka mapapila lawa ndzimarhumelaka',
 'tog-shownumberswatching' => 'Komba ntsengo wa vatirhisi lava hlaleleke tluka',
+'tog-externaleditor' => 'Tirhisa xilulamisi xalehandle hikukongoma (Nhlawulo lowu i wavatirhisi lavangani ntokoto ntsena, wu lava ku cinca kokarhi eka khompuyuta yawena. [//www.mediawiki.org/wiki/Manual:External_editors vuxokoxoko hi mhakaleyi.])',
+'tog-externaldiff' => 'Tirhisa phurogiremi yalehandle hikukongoma (Nhlawulo lowu i wavatirhisi lavangani ntokoto ntsena, wu lava ku cinca kokarhi eka khompuyuta yawena. [//www.mediawiki.org/wiki/Manual:External_editors vuxokoxoko hi mhakaleyi.])',
 'tog-forceeditsummary' => 'Ndzivutisisi loko ndzinga hoxi nkomiso wa ndzulamiso lowu ndzi wu endleke',
 'tog-watchlisthideown' => 'Tumbeta mindzulamiso ya mina eka leswi ndzi swi languteke',
 'tog-watchlisthidebots' => 'Tumbeta mindzulamiso ya rhobhoti eka leswi ndzi swi languteke',
 'tog-watchlisthideminor' => 'Tumbeta mindzulamiso leyi tsongo eka leswi ndzi swi languteke',
 'tog-watchlisthideliu' => 'Tumbeta mindzulamiso ya vatirhisiwa lava ngheneke eka leswi ndzi swi languteke',
 'tog-watchlisthideanons' => 'Tumbeta mindzulamiso ya vatirhisiwa lavanga tivekiki eka leswi ndzi swi languteke',
+'tog-watchlisthidepatrolled' => 'Tumbeta mindzulamiso leyi languteriweke eka leswilangutiweke',
 'tog-ccmeonemails' => "Ndzirhumele khopi ya ti e-mail leti ndzi ti rhumelaka van'wana",
+'tog-showhiddencats' => 'Komba mintlawa leyi tumbetiweke',
 
 'underline-always' => 'Nkarhi hinkwawo',
 'underline-never' => "Swinga endleki ni kan'we",
@@ -105,14 +109,22 @@ $messages = array(
 'pagecategories' => '{{PLURAL:$1|Ntlawa|intlawa}}',
 'category_header' => 'Matluka eka ntlawa wa "$1"',
 'subcategories' => 'Mintlawa-ntsongo',
+'category-media-header' => 'Matluka ya xifaniso kumbe mpfumawulo eka ntlawa wa "$1"',
 'category-empty' => "''Ntlawa lowu eka nkarhi wa sweswi, wuhava matluka kumbe swifaniso.''",
 'hidden-categories' => '{{PLURAL:$1|Ntlawa lowu tumbetiweke|Mintlawa leyi tumbetiweke}}',
+'hidden-category-category' => 'Mintlawa leyi tumbetiweke',
 'category-subcat-count' => '{{PLURAL:$2|Ntlawa lowu wukhome mintlawa-ntsongo leyi landzelaka.|Ntlawa lowu wuni  {{PLURAL:$1|ntlwa-ntsongo|$1 wa mintlaw-ntsongo}}, eka $2 wa mintlawa-ntsongo.}}',
+'category-subcat-count-limited' => 'Ntlawa lowu wuna {{PLURAL:$1|ntlawa-ntsongo lowu|$1 mintlawa-ntsongo leyi}} landzelaka.',
 'category-article-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
+'category-article-count-limited' => '{{PLURAL:$1|Tluka leri rile|$1 Matluka lawa male}} ndzeni ka ntlawa lowu.',
+'category-file-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
+'category-file-count-limited' => '{{PLURAL:$1|Fayili leyi yile|$1 Tifayili leti tile}} ndzeni ka ntlawa lowu.',
 'listingcontinuesabbrev' => 'Mahlwe.',
 'noindex-category' => 'Matluka lama kayivelaka xikombandlela xa tinhlokomhaka',
+'broken-file-category' => 'Matluka lamangana minkhwekelo ya tifayili leyi tshovekeke',
 
 'about' => 'Timhaka hi',
+'article' => 'Matluka lama tsariweke',
 'newwindow' => '(Yi pfula e ndhzawini yintswa)',
 'cancel' => 'Thsika',
 'moredotdotdot' => "Swin'wana...",
@@ -137,6 +149,8 @@ $messages = array(
 'vector-action-delete' => 'Sula',
 'vector-action-move' => 'Yi sa kunwana',
 'vector-action-protect' => 'Sirhelela',
+'vector-action-undelete' => 'Cinca kusula',
+'vector-action-unprotect' => 'Cinca kusirhelela',
 'vector-view-create' => 'Tumbuluxa',
 'vector-view-edit' => 'Lulamisa',
 'vector-view-history' => 'Languta matimu',
@@ -156,35 +170,58 @@ $messages = array(
 'searcharticle' => 'Nghena',
 'history' => 'Matimu yaTluka',
 'history_short' => 'Matimu',
+'updatedmarker' => 'leswi lunghisiweke kusukela loko nihetelela nxaxamelo lowu',
 'printableversion' => 'Vona Ngangliso',
 'permalink' => 'Xithlavinyeti xa nkarhi hinkwawo',
+'print' => 'Gandlisa',
+'view' => 'Langutisa',
 'edit' => 'Lulamisa',
 'create' => 'Tumbuluxa',
 'editthispage' => 'Lulamisa Tluka leri',
 'create-this-page' => 'Tumbuluxa tluka leri',
 'delete' => 'Sula',
 'deletethispage' => 'Sula tluka leri',
+'undelete_short' => 'Cinca kusula {{PLURAL:$1|ndzulamiso|$1 mindzulamiso}}',
+'viewdeleted_short' => 'Vona {{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
 'protect' => 'Sirhelela',
 'protect_change' => 'Cinca',
 'protectthispage' => 'Sirhelela tluka leri',
+'unprotect' => 'Cinca kusirhelela',
+'unprotectthispage' => 'Cinca kusirhelela tluka leri',
 'newpage' => 'Tluka rintswa',
+'talkpage' => 'Burisana hi tluka leri',
 'talkpagelinktext' => 'Mbulavulo',
 'specialpage' => 'Tluka ro hlawuleka',
 'personaltools' => "Switirhisi swa n'wini",
+'postcomment' => 'Xiyenge xintswa',
+'articlepage' => 'Langutisa tluka ra matsalwa',
 'talk' => 'Mbulavuriswano',
 'views' => 'Kulanguteka',
 'toolbox' => 'Bokisi ra switirhisiwa',
+'userpage' => 'Vona tluka ramutirhisi',
+'projectpage' => 'Vona tluka ra phurojeki',
+'imagepage' => 'Vona tluka ra fayili',
+'mediawikipage' => 'Vona tluka ra hungu',
+'templatepage' => 'Vona tluka ra xivumbiwa-ntirho',
+'viewhelppage' => 'Vona tluka ra mpfuno',
+'categorypage' => 'Vona tluka ra ntlawa',
+'viewtalkpage' => 'Vona bulo',
 'otherlanguages' => "Hi ti ndzimi tin'wana",
 'redirectedfrom' => '(Ritlerisewe kusuka e $1)',
+'redirectpagesub' => 'Tluka ro kongomisa',
 'lastmodifiedat' => 'Tluka leri rihetelele ku lulamisiwa hi $1, nkarhi kuri $2.',
+'viewcount' => "Tluka leri ri vhakeriwe {{PLURAL:$1|kan'we|makhambi ya $1}}.",
+'protectedpage' => 'Tluka leri sirheleriweke',
 'jumpto' => 'Tlulela eka:',
 'jumptonavigation' => 'Xikomba-ndlela',
 'jumptosearch' => 'Lava',
+'pool-errorunknown' => 'xiphiqo xoka xingativeki',
 
 # All link text and link target definitions of links into project namespace that get used by other message strings, with the exception of user group pages (see grouppage) and the disambiguation template definition (see disambiguations).
 'aboutsite' => 'ta {{SITENAME}}',
 'aboutpage' => 'Project:ta',
-'copyrightpage' => '{{ns:project}}:Mpfumelelo wo ringisa',
+'copyright' => 'Matsalwa makumeka ehansi ka $1.',
+'copyrightpage' => '{{ns:project}}:Swisivela ku encenyeta',
 'currentevents' => 'Leswi endlekaka sweswi',
 'currentevents-url' => 'Project:Leswi endlekaka sweswi',
 'disclaimers' => 'Swi alanandzu',
@@ -223,6 +260,8 @@ See [[Special:Version|version page]].',
 'toc' => 'Leswinga ndzeni',
 'showtoc' => 'Kombisa',
 'hidetoc' => 'Tumbeta',
+'collapsible-collapse' => 'Tsongahata',
+'collapsible-expand' => 'Ndlandlamuxa',
 'thisisdeleted' => 'Langutisa kumbe Thlerisela $1?',
 'viewdeleted' => 'Langutisa $1?',
 'restorelink' => '{{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
@@ -234,6 +273,8 @@ See [[Special:Version|version page]].',
 'page-rss-feed' => '"$1" RSS Feed',
 'page-atom-feed' => '"$1" Atom Feed',
 'red-link-title' => '$1 (Tluka leri, arisi tsariwa)',
+'sort-descending' => 'Xaxameta kuya ehansi',
+'sort-ascending' => 'Xaxameta kuya ehenhla',
 
 # Short words for each namespace, by default used in the namespace tab in monobook
 'nstab-main' => 'Tluka',
@@ -249,7 +290,9 @@ See [[Special:Version|version page]].',
 
 # Main script and global functions
 'nosuchaction' => 'Kuhava xiendlo xo tano',
-'nosuchactiontext' => 'Xikombelo xa URL a xitwisisiwi hi wiki',
+'nosuchactiontext' => 'Xikombelo xa URL a xitwisisiwi hi wiki.
+Ungava uhoxise kupeleta, kumbe u landze nkhwekelo lowu hoxeke.
+Xiphiqo lixe xingava xikomba xigalana eka software ya {{SITENAME}}.',
 'nosuchspecialpage' => 'Ku hava Tluka rero rohlawuleka',
 'nospecialpagetext' => '<strong>U kombele tluka ro hlawuleka ro ka ri nga ri kona.</strong>
 
@@ -286,6 +329,8 @@ Ukomberiwa ku pota xigalana lexi eka [[Special:ListUsers/sysop|mulanguteri]], u
 'readonly_lag' => 'The database has been automatically locked while the slave database servers catch up to the master',
 'internalerror' => 'Xihoxo xa le ndzeni',
 'internalerror_info' => 'Internal error: $1',
+'fileappenderrorread' => 'Hitsandzeke ku hlaya "$1" eku lulamiseni loku.',
+'fileappenderror' => 'Hintsndzeke ku lulamisa "$1" leswaku yiva "$2".',
 'filecopyerror' => 'Could not copy file "$1" to "$2".',
 'filerenameerror' => 'Could not rename file "$1" to "$2".',
 'filedeleteerror' => 'Could not delete file "$1".',
@@ -297,6 +342,7 @@ Ukomberiwa ku pota xigalana lexi eka [[Special:ListUsers/sysop|mulanguteri]], u
 'badarticleerror' => 'This action cannot be performed on this page.',
 'cannotdelete' => 'Could not delete the page or file specified.
 It may have already been deleted by someone else.',
+'cannotdelete-title' => 'Tluka "$1", ari suleki',
 'badtitle' => 'Bad title',
 'badtitletext' => 'The requested page title was invalid, empty, or an incorrectly linked inter-language or inter-wiki title.
 It may contain one or more characters which cannot be used in titles.',
@@ -306,23 +352,52 @@ Data here will not presently be refreshed.',
 Function: $1<br />
 Query: $2',
 'viewsource' => 'Vona tsalwa-tumbuluxa',
+'viewsource-title' => 'vona xihlovo xa $1',
+'protectedpagetext' => 'Tluka leri riserheleriwile kusivele ndzulamiso.',
+'viewsourcetext' => 'Unga langutisa naswona u kopa xihlovo xa tluka leri.',
+'viewyourtext' => 'Unga langutisa naswona ukopa xihlovo xa "mindzulamiso yawena" eka tluka leri.',
+'protectedinterface' => 'Tluka leri rina xihlanganisi xa software, naswona risirheleriwile kusivela kuthyakisiwa.',
+'editinginterface' => "'''Tivonele:''' ulekululamiseni tlika leri tirhisiwaka ku komba matsalwa yo hlanganisa ya software.
+Kucinca eka tluka leri kunga onha kulanguteka ka matluka eka vatirhisi van'wana.
+Leswaku uvona kuhundzuluxa langutisa [//translatewiki.net/wiki/Main_Page?setlang=en translatewiki.net], Phurojeki yo hundzuluxa tindzimi ya MediaWiki.",
+'cascadeprotected' => 'Tluka leri risirheleriwile eka mindzulamiso, hikwalaho kaleswi rikatsiweke eka {{PLURAL:$1|tluka, leri|matluka, lama}} sirheleriweke nswona mangana nhlawulo wo "katsakanya" lowu hlawuriweke:
+$2',
+'namespaceprotected' => "Uhava mfumelelo wo lulamisa matluka eka maviti-vundzawu bya '''$1'''.",
+'customcssprotected' => "Uhava mpfumelelo wo ulamisa tluka leri ra CSS, hikuva rina minhlawulo ya mutirhisi un'wana.",
+'customjsprotected' => "Uhava mpfumelelo wolulamisa tluka-xirhumiwa xa Java, hiuva ringava rikhome minhlawulo yamutirhisi un'wana.",
+'ns-specialprotected' => 'Matluka yohlawuleka amalulamisiwi.',
+'titleprotected' => 'Nhlokomhaka leyi yisirheleriwile ekutumbuluxiwa hi [[User:$1|$1]].
+Hikwlaho ka xivangelo xa "\'\'$2\'\'".',
 
 # Login and logout pages
+'logouttext' => "'''Uhumile eka wiki leyi.'''
+
+Ungaya emahlweni utirhisa {{SITENAME}} handle ko tipaluxa, kumbe unga [[Special:UserLogin|pfula unghena nakambe]] tani hi mutirhisa un'wana kumbe kumbe hivuxokoxoko bya wena.
+Tsundzuka leswaku matluka man'wana mangaha komba onge upfule unghena eka wiki, loko ungasi sula tluka rakhompuyuta leri tsundzukaka matluka lawa uma vhakeleke.",
+'welcomecreation' => '== Hoyohoyo, eka Wena $1 ! ==
+Akhawunti yawena yitumbuluxiwile.
+Unda rivali ku cinca [[Special:Preferences|{{SITENAME}} minhlawulo ya wena]].',
 'yourname' => 'Vito ra vutirhisi',
 'yourpassword' => 'Vito-mpfungulo:',
 'yourpasswordagain' => 'Thlela u hoxa ritompfungulo ra wena:',
 'remembermypassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
 'login' => 'Pfula u nghena',
 'nav-login-createaccount' => 'Pfula unghena / Tumbuluxa akhawunti',
+'loginprompt' => 'U fanele ku pfumelela swipfuneti leswaku u pfula unghena eka {{SITENAME}}.',
 'userlogin' => 'Pfula unghena / Tumbuluxa akhawunti',
+'userloginnocreate' => 'Pfula unghena',
 'logout' => 'Pfala u famba',
 'userlogout' => 'Pfala u famba',
+'notloggedin' => 'A wusipfula unghena',
 'nologin' => 'Xana upfumala akhawunti? $1.',
 'nologinlink' => 'Tumbuluxa akhawunti',
 'createaccount' => 'Tumbuluxa akhawunti',
 'gotaccount' => 'Xna una akhawunti hi khale? $1.',
 'gotaccountlink' => 'Pfula unghena',
 'userlogin-resetlink' => 'Xana u rivele vuxokoxoko bya wena byo pfula unghena?',
+'createaccountreason' => 'Xivangelo:',
+'loginsuccess' => "'''Ule ndzeni ka {{SITENAME}} tani hi \"\$1\".'''",
+'mailmypassword' => 'Rhumela vito-mpfungulo lerintwsa',
 'loginlanguagelabel' => 'Ririmi: $1',
 
 # Edit page toolbar
@@ -335,6 +410,7 @@ Query: $2',
 'extlink_sample' => 'http://www.example.com khwekerisa nhlokomhaka',
 'extlink_tip' => 'Xikhwekerisi xa tluka ralehandle ka wiki leyi (tsundzuka xi rhangi xa http:// )',
 'headline_sample' => 'tsala ra nhlokomhaka',
+'headline_tip' => 'Nhloko mhaka ya xiyenge xa 2',
 'nowiki_sample' => 'Hoxa xivulwa lexi nga sasekisiwangiki mavonele laha',
 'nowiki_tip' => 'bakanya kuxongisa marito ka wiki',
 'image_tip' => 'Fayili leyi angarhiweke',
@@ -352,6 +428,7 @@ Query: $2',
 'showdiff' => 'Komba ku cinca',
 'anoneditwarning' => "'''Watsundzuxiwa:''' awu pfulanga unghena eka wiki leyi.
 Adirese ya khompuyuta ya wena ya IP yita tsariwa eka matimu ya ku lulamisiwa ka tluka leri.",
+'newarticle' => '(yintswa)',
 'newarticletext' => "Ulandzele xikhwekerisi lexi kombaka tluka leringasi tsariwaka.
 Leswaku u tumbuluxa tluka leri, tsala eka bokisi leringa e hansi (Nkambe unga ye eka [[{{MediaWiki:Helppage}}|tluka ra mpfuno]] kukuma vuxokoxoko lebyi engetelekeke).
 Loko ufike eka tluka leri hixihoxo, thlava bhatheni leyinge '''thlelela'''.",
@@ -365,6 +442,7 @@ kumbe u <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAME
 'previewnote' => "'''Lowu i ndzinganiso ntsena;
 kucinca a ku sihlayisiwa!'''",
 'editing' => 'Ulekululamiseni ka $1',
+'editingsection' => 'Ndzulamiso wa $1 (Xiyenge)',
 'copyrightwarning' => "Xiya leswaku minyikelo hinkwayo e ka {{SITENAME}} yi tekiwa yitshuxiwe e hansi ka $2 (Vona $1 ku kuma vuxokoxoko).
 loko unga tsakeli leswaku vutsari bya wena byi lulamisiwa no aviwa handle ko tweriwa vusiwana, unga tsari laha.<br />
 U hi tshembisa nakambe leswaku hi wena mutsari wa leswi nyikeriwaka laha, kumbe leswi u swinyikelaka u swi tekile e xihloveni xa lerivaleni kumbe laha kunga na mpfumelelo wa mani na mani.
@@ -372,7 +450,12 @@ U hi tshembisa nakambe leswaku hi wena mutsari wa leswi nyikeriwaka laha, kumbe
 'templatesused' => '{{PLURAL:$1|Xivumbiwa ntirho lexi|Swivumbiwa ntirho leswi}} tirhisiweke eka tluka leri:',
 'template-protected' => '(Ri sirheleriwile)',
 'template-semiprotected' => '(lisirheleriwile switsanana)',
+'hiddencategories' => 'Tluka leri i nandza wa {{PLURAL:$1|ntlwa lowu tumbetiweke|$1 mintlawa leyi tumbetiweke}}:',
 'permissionserrorstext-withaction' => 'Awupfumeleriwanga ku $2, hikwalaho ka {{PLURAL:$1|wa xivangelo|wa swivangelo}}:',
+'recreate-moveddeleted-warn' => "'''Tivonele: utumbuluxa tluka leri raha ku suriwa kungarikhale.'''
+
+Nhlahluvisisa loko swifanerile ku ya emahlweni u lulamisa tluka leri.
+Matimu yo sula no susa ma kombila laha ehansi ku ku pfuna:",
 'moveddeleted-notice' => 'Tluka leri ri suriwile.
 nhula ya minxaxamelo leyi kombaka ku suriwa na ku susiwa ka tluka leri ya kombiwa laha ehansi.',
 
@@ -388,6 +471,7 @@ Swi hlamuseri leswi swi susiwile eka tluka leri.",
 'viewpagelogs' => 'Vona nghula ya minxaxamelo ya tluka leri',
 'currentrev-asof' => 'Mindzulamiso ya sweswinyana ya $1',
 'revisionasof' => 'Ndzulamiso kusukela hi $1',
+'revision-info' => 'Mindzulamiso ku sukela hi $1 leyi endliweke hi $2',
 'previousrevision' => '← Ndzulamiso wakhale',
 'nextrevision' => 'Ndzulamiso wa sweswinyana →',
 'currentrevisionlink' => 'Ndzulamiso wasweswinyana',
@@ -431,6 +515,7 @@ Swihlamuseri: '''({{int:sweswi}})''' = kuhambana na ndzulamiso wa sweswinyana, '
 'prevn-title' => '$1 {{PLURAL:$1|nkutlunya lo wu|minkutlunya leyi}} hundzeke',
 'nextn-title' => '$1 {{PLURAL:$1|nkutlunya lowu|minkutlunya leyi}} landzelaka',
 'shown-title' => "Komba $1 {{PLURAL:$1|mbuyelo|mimbuyelo}} eka tluka rin'wana na ri n'wana",
+'viewprevnext' => 'Vona ($1 {{int:pipe-separator}} $2) ($3)',
 'searchmenu-exists' => "'''Tluka leri vuriwaka \"[[:\$1]]\" ikhale ririkona eka wiki leyi.'''",
 'searchmenu-new' => "'''Tumbuluxa tluka ra \"[[:\$1]]\" eka wiki leyi!'''",
 'searchhelp-url' => 'Help:Leswinga ndzeni',
@@ -445,6 +530,7 @@ Swihlamuseri: '''({{int:sweswi}})''' = kuhambana na ndzulamiso wa sweswinyana, '
 'searchprofile-everything-tooltip' => 'Lavalava eka matsalwa hinkwawo  (kuhlanganisa na matluka ya mbulavulo)',
 'searchprofile-advanced-tooltip' => 'Lavalava eka swisivela mavito leswi tolovelekeke',
 'search-result-size' => '$1 ({{PLURAL:$2|1 viti|$2 maviti}})',
+'search-result-category-size' => '{{PLURAL:$1|nandza|$1 wa malandza}} ({{PLURAL:$2|ntlawa-ntsongo|$2 wa mintlawa-ntsongo}}, {{PLURAL:$3|fayili|$3 wa tifayili}})',
 'search-redirect' => '(nkongomiso kusaka e $1)',
 'search-section' => '(Xiyenge $1)',
 'search-suggest' => 'Xana uvula: $1',
@@ -466,6 +552,7 @@ Swihlamuseri: '''({{int:sweswi}})''' = kuhambana na ndzulamiso wa sweswinyana, '
 'saveprefs' => 'Hlayisa',
 'resetprefs' => 'sula kucinca lokungahlayisiwangiki',
 'prefs-editing' => 'Kululamisa',
+'youremail' => 'E-mail:',
 'yourrealname' => 'Vito ra ntiyiso:',
 'yourlanguage' => 'Ririmi:',
 'prefs-help-email' => 'Adiresi ya e-mail ayibohi, kambe yita laveka leswaku u cinca ritompfungulo ra wena, loko swiendleka leswaku u ri rivala.',
@@ -477,18 +564,32 @@ Adiresi ya wena ya e-mail yitunberile loko van'wana va bula na wena.",
 'right-edit' => 'Lulamisa ma tluka',
 'right-createpage' => 'Tumbuluxa matluka (mangariki eka matluka ya mbulavulo)',
 'right-createtalk' => 'Tumbuluxa matluka ya mbulavulo',
+'right-move' => "Yisa matluka lawa kun'wana",
+'right-move-subpages' => "yisa matluka lawa na matluka-ntsongo ya wona, kun'wana",
+'right-delete' => 'Sula matluka lawa',
 
 # Associated actions - in the sentence "You do not have permission to X"
+'action-read' => 'hlaya tluka leri',
 'action-edit' => 'Lulamisa tluka leri',
+'action-createpage' => 'tumuluxa matluka',
+'action-createtalk' => 'tumbuluxa matluka ya mbulavulo',
+'action-createaccount' => 'tumbuluxa akhawunti ya mutirhisi loyi',
+'action-minoredit' => 'funga ndzulamiso lowu wulri lowintsanana',
+'action-move' => 'Yisa tluka leri ndhzawini yinwana',
+'action-move-subpages' => "Yisa tlukaleri na matluka-nstongo ya rona, endzawini yinw'ana",
 
 # Recent changes
 'nchanges' => '$1 {{PLURAL:$1|wa ndzulamiso|wa mindzulamiso}}',
 'recentchanges' => 'Ku cinca ka sweswi-nyana',
 'recentchanges-legend' => 'Tindlela to langutisa ku cinca ka sweswinyana',
+'recentchanges-summary' => 'Landzelela mindzulamiso ya sweswinyana ya wiki leyi eka tluka leri.',
+'recentchanges-feed-description' => 'Landzelela mindzulamiso ya sweswinyana eka wiki leyi hi xiphameri-hungu lexi.',
 'recentchanges-label-newpage' => 'Ndzulamiso lowu wu tumbuluxe tluka rintswa',
 'recentchanges-label-minor' => 'Lowu i ndzulamiso wu tsongo',
 'recentchanges-label-bot' => 'Ndzulamiso lowu wu endliwe hi rhobhoto',
 'recentchanges-label-unpatrolled' => 'Ndzulamiso lowu awusi languteriwa',
+'rcnote' => "Lha hansi ku kombiwa {{PLURAL:$1|ku cinca|''$1''' wa mindzulamiso}} endzeni ka  {{PLURAL:$2|siku|'''$2''' wa masiku}} lamahundzeke, hi $5, $4.",
+'rcnotefrom' => "Laha hansi kuxaxametiwe ku cinca kusukela hi '''$2''' (kuya ka '''$1''').",
 'rclistfrom' => 'Komba mindzilamiso leyintswa kusukela eka $1',
 'rcshowhideminor' => '$1 wa mindzulamiso leyi ntsanana',
 'rcshowhidebots' => '$1 wati rhobhoto',
@@ -558,15 +659,22 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 # Statistics
 'statistics' => 'Mintsengo',
 
+'disambiguationspage' => 'Template:Hambanisa marito',
+
 # Miscellaneous special pages
 'nbytes' => '$1 {{PLURAL:$1|wa bayiti|wa tibayit}}',
 'nmembers' => '$1 {{PLURAL:$1|museketeri| wa vaseketeri}}',
+'prefixindex' => 'Matluka hinkwawo lama sungulaka hi',
+'usercreated' => '{{GENDER:$3|u tumbuluxe}} hi siku ra $1 hinkarhi wa $2',
 'newpages' => 'Matluka mantswa',
 'move' => 'Yi sa kunwana',
 'movethispage' => 'Yisa tluka leri ndhzawini yinwana',
+'pager-newer-n' => '{{PLURAL:$1|xa khale|$1 swa khale}}',
+'pager-older-n' => '{{PLURAL:$1|ra khale|$1 ya khale}}',
 
 # Book sources
 'booksources' => 'Swihlovo swatibuku',
+'booksources-search-legend' => 'Lavalava swihlovo swa tibuku',
 'booksources-go' => 'Nghena',
 
 # Special:Log
@@ -586,6 +694,9 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 'linksearch-ok' => 'Lava',
 'linksearch-line' => '$1 yi khwekerisiwe kusuka eka $2',
 
+# Special:Log/newusers
+'newuserlogpage' => 'Nghula ya nxaxamelo wa ku tumbuluxiwa ka vatirhisi',
+
 # Special:ListGroupRights
 'listgrouprights-members' => '(nxaxamelo wa valandzeri)',
 
@@ -595,10 +706,13 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 # Watchlist
 'watchlist' => 'Leswi ndziswilanguteke',
 'mywatchlist' => 'Leswi ndziswilanguteke',
+'watchlistfor2' => 'Swa $1 $2',
 'watch' => 'Languta',
 'watchthispage' => 'Languta tluka leri',
 'unwatch' => 'Ungalanguti',
+'watchlist-details' => '{{PLURAL:$1|$1 tluka|$1 wa matluka}} eka nxaxamelo wa leswi uswilanguteke, kungasi hlayiwa matluka yu mbulavulo.',
 'wlshowlast' => 'Komba $1 wati awara  $2 wa masiku kumbe $3',
+'watchlist-options' => 'Minhlawulo ya nxaxamelo wa leswilangutiweke',
 
 # Displayed when you click the "watch" button and it is in the process of watching
 'watching' => 'Ulangutile...',
@@ -627,6 +741,7 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 
 # Contributions
 'contributions' => 'Minyikelo ya mutirhisi',
+'contributions-title' => 'Minyikelo ya vutirhisi ya $1',
 'mycontris' => 'Minyikelo ya mina',
 'contribsub2' => 'For $1 ($2)',
 'nocontribs' => 'Ku hava ku cinca loku kumiweke eka xiyenge lexi.',
@@ -636,8 +751,13 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 
 'sp-contributions-newbies' => 'Komba minyikela ya ti akhawunti tintswa ntsena',
 'sp-contributions-newbies-sub' => 'Eka ti akhawunti ti ntswa',
+'sp-contributions-blocklog' => 'Ngula ya nxaxamelo wa kusivela',
+'sp-contributions-uploads' => 'Nxaxamelo wa ku nghenisa',
+'sp-contributions-logs' => 'Nghula ya nxaxamelo',
 'sp-contributions-talk' => 'Mbulavulo',
 'sp-contributions-search' => 'Lava minyikelo',
+'sp-contributions-username' => 'Hoxa Direse ya IP kumbe vito ra mutirhisi:',
+'sp-contributions-toponly' => 'Komba ntsena mindzulamiso leyi yinga haku endliwa sweswinyana',
 'sp-contributions-submit' => 'Lava',
 
 # What links here
@@ -645,12 +765,15 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 'whatlinkshere-title' => 'Matluka lama khwekelaka eka $1',
 'whatlinkshere-page' => 'Tluka:',
 'linkshere' => "Matluka lama landzelaka makhwekela eka '''[[:$1]]''':",
+'nolinkshere' => "Kuhava matluka lama khwekelaka eka  '''[[:$1]]'''.",
 'isredirect' => 'Tluka ro kongomisa',
+'istemplate' => 'Swisivela ndhzawu',
 'isimage' => 'Xikhwekerisi xa fayili',
 'whatlinkshere-prev' => '{{PLURAL:$1|leri hundzeka| $1 lama hundzeke}}',
 'whatlinkshere-next' => '{{PLURAL:$1|lowu landzelaka| $1 leyi landzelaka}}',
 'whatlinkshere-links' => '← Swikhwekerisi',
 'whatlinkshere-hideredirs' => '$1 ya matluka yo thlerisela',
+'whatlinkshere-hidetrans' => '$1 wa swisivela ndhzawu',
 'whatlinkshere-hidelinks' => '$1 wa swikhwekeri',
 'whatlinkshere-hideimages' => '$1 swikhwekerisi saw xifaniso',
 'whatlinkshere-filters' => 'Tinhlelo',
@@ -663,9 +786,11 @@ Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombi
 'change-blocklink' => 'Cinca xirhapa',
 'contribslink' => 'Minyikelo',
 'blocklogpage' => 'Ngula ya nxaxamelo wa kusiverwa ka vatirhisi',
+'blocklogentry' => 'Nsivelo wa mutirhisi  [[$1]] wu hela hi $2 $3',
 'block-log-flags-nocreate' => 'Kupfula akhawunti swa arisiwa',
 
 # Move page
+'movelogpage' => 'Nghula ya nxaxamelo waku susiwa',
 'revertmove' => 'thlerisela',
 
 # Export
@@ -713,6 +838,7 @@ Unga vona xit\\holvo xa rona',
 'tooltip-t-recentchangeslinked' => 'Kucinca kasweswinyana ka matluka la ma thlavinyetiweke eka tluka leri',
 'tooltip-feed-atom' => 'Vuhaxi bya Atom bya tluka leri',
 'tooltip-t-contributions' => 'Nxaxamelo wa minyikelo ya mutirhisi loyi',
+'tooltip-t-emailuser' => 'Rhumela mutirhisa loyi e-mail',
 'tooltip-t-upload' => 'Khandziyisa tifayili',
 'tooltip-t-specialpages' => 'Nxaxamelo wa matluka yo hlawuleka',
 'tooltip-t-print' => 'Gangliso wa tluka leri',
@@ -735,11 +861,13 @@ Unga vona xit\\holvo xa rona',
 'tooltip-summary' => 'Tsala nkomiso',
 
 # Browsing diffs
+'previousdiff' => '← Ndzulamiso wakhale',
 'nextdiff' => 'Ndzulamiso lowu ntswa →',
 
 # Media information
 'file-info-size' => '$1 × $2 ku anama na leha hi ti phikisele, Vukulu bya fayili: $3, muxaka waMIME: $4',
 'file-nohires' => 'Xifaniso lexi axikuriseki kuhundza laha.',
+'svg-long-desc' => 'Fayili ya SVG, vukulu lebyi ringaneke $1 × $2 hi ti phikisele, vukulu bya fayili: $3',
 'show-big-image' => 'kuleha na ku anama hixitalo',
 
 # Bad image list
@@ -750,6 +878,7 @@ Xithlavinyeti xo sungula eka ntila i xithlavinyeta fayili leyi onhiweke.
 Swithlavinyeti leswi engetelekeke eka ntila lowu fanaka swilangutiwa swiri swihambukisi, hileswaku matluka lawa fiyili yinga kumekaka kona endzeni.',
 
 # Metadata
+'metadata' => 'Nghula ya vuxokoxoko',
 'metadata-help' => 'Fayili leyi yi khome vuxokoxoko lebyi engetelekeke, swingaendleka yi hoxiwile kusuka eka Khemera kumbe muchini wo gandlisa lowu tirhisiweke ku yi tumbuluxa.
 Loko fayili yi antswisiwile kusukela eka matshamelo ya yona yo sungula, vuxokoxoko bya yona byinga va byi cincile.',
 'metadata-fields' => "Vuxokoxoko bya xifaniso lexi nga eka hungu leri byi ta kombiwa eka tluka leri kombaka xifaniso lexi loko tafula ra nxaxamela wa vuxokoxoko ri pfariwa.
@@ -773,11 +902,13 @@ Lebyi n'wana vuxokoxoko bya finiso byitumbetiwile.
 'edit-externally-help' => '(Vona [//www.mediawiki.org/wiki/Manual:External_editors swiletelo swo sungurisa] leswaku ukuma vuxokoxoko lebyi engetelekeke)',
 
 # 'all' in various places, this might be different for inflected languages
+'watchlistall2' => 'Hinkwawo',
 'namespacesall' => 'Hinkwawo',
 'monthsall' => 'hikwato',
 
 # Watchlist editing tools
 'watchlisttools-view' => 'Vona kucinca loku yelanaka',
+'watchlisttools-edit' => 'Langutisa naswona u lulamisa nxaxamelo wa leswilangutiweke',
 'watchlisttools-raw' => 'Lulamisa nxaxamelo-mbisi wa leswilangutiweke',
 
 # Core parser functions
@@ -786,6 +917,16 @@ Lebyi n'wana vuxokoxoko bya finiso byitumbetiwile.
 # Special:SpecialPages
 'specialpages' => 'Matluka yo hlawuleka',
 
+# External image whitelist
+'external_image_whitelist' => ' #Tshika ntila lowu wunga cinciwanga<pre>
+#Hoxa hlamuselo lowu tolovelekeke (xiphemu lexi nga exikarhi ka //) laha hansi
+#Swita hlanganisiwa na  tiURL to swifaniso swa le handle (leswi khwekerisiweke)
+#Leswi yelanaka swi ta kombiwa swiri swifaniso, lokoswingaritano kuta kombiwa ntsena swikhwekerisi swa xifaniso 
+#Mintila leyi sungulaka # yivona kuri ma vonele/nlhamulo
+#Xi lava marito lama xaxametiweke hi marito-nkulu na lama tsongo
+
+#hoxa swiphemu hinkwaswo swa regex ehenhla ka ntilalowu. Tshika ntila lowu wuri leswi wunga xiswona</pre>',
+
 # Special:Tags
 'tag-filter' => 'Xihluti xa [[Special:Tags|Xi angi]]:',