Merge "Add/update function level parameter documentation"
[lhc/web/wiklou.git] / languages / messages / MessagesTs.php
1 <?php
2 /** Tsonga (Xitsonga)
3 *
4 * See MessagesQqq.php for message documentation incl. usage of parameters
5 * To improve a translation please visit http://translatewiki.net
6 *
7 * @ingroup Language
8 * @file
9 *
10 * @author Thuvack
11 */
12
13 $messages = array(
14 # User preference toggles
15 'tog-underline' => 'Hlanganisa nkhwatiheto:',
16 'tog-justify' => 'Hikahata xirhapa',
17 'tog-hideminor' => 'Tumbeta mindzulamiso leyi tsongo',
18 'tog-hidepatrolled' => 'Tumbeta ku cinca loku languteriweke eka kucinca ka sweswinyana',
19 'tog-newpageshidepatrolled' => 'Tumbeta kucinca loku languteriweke eka nxaxamelo wa matluka lamantswa',
20 'tog-extendwatchlist' => 'Ndlandlamuxa ku komba kucinca hikwako, handle ka ku cinca ka sweswinyana ntsena',
21 'tog-usenewrc' => 'Tirhisa kucinca ka sweswinyana loku hlutiweke (yitirhisa ntsalo wa Java)',
22 'tog-numberheadings' => 'Tinhloko-mhaka leti hleriweke',
23 'tog-showtoolbar' => 'Komba xiangarhi xo cinca (yitirhisa ntsalo wa Java)',
24 'tog-editondblclick' => 'Lulamisa matluka hi ku thlava kambirhi (yitirhisa ntsalo wa Java)',
25 'tog-editsection' => 'Pfula ku lulamisa xiyenge hi ku tirhisa xithlavinyeti xo [edit|lulamisa]',
26 'tog-editsectiononrightclick' => 'Pfula ku lulamisa hi swiyenge hi ku thlava nhlokomhaka ya xiyenge (yitirhisa ntsalo wa Java)',
27 'tog-showtoc' => 'Komba nxaxamelo wa leswingandzeni (eka tinhlokomhaka tinharhu kumbe kutlula)',
28 'tog-rememberpassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
29 'tog-watchcreations' => 'Hoxa matluka lawa ndzi matumbuluxaka eka leswi ndziswi languteke',
30 'tog-watchdefault' => 'Hoxa matluka lawa ndzi malulamisaka eka leswi ndziswi languteke',
31 'tog-watchmoves' => "Hoxa matluka lawa ndzi mayisaka kun'wana eka leswi ndziswi languteke",
32 'tog-watchdeletion' => 'Hoxa matluka lawa ndzi masulaka eka leswi ndziswi languteke',
33 'tog-minordefault' => 'Funga mindzulamiso hinkwayo leyi ntsongo handle ka xivangelo',
34 'tog-previewontop' => 'Komba kuringanisa ungasi lulamisa bokisi',
35 'tog-previewonfirst' => 'Komba kuringanisa eka ndzulamiso wo sungula',
36 'tog-enotifwatchlistpages' => 'ndzurhumele e-mail loko leswi ndzi swi languteke swi lulamisiwa',
37 'tog-enotifusertalkpages' => 'ndzurhumele e-mail loko tluka ra mbulavulo na mina ri lulamisiwa',
38 'tog-enotifminoredits' => 'ndzurhumele e-mail loko ku endleka mindzulamiso leyi ntsongo',
39 'tog-enotifrevealaddr' => 'Paluxa e-mail yamina eka mapapila lawa ndzimarhumelaka',
40 'tog-shownumberswatching' => 'Komba ntsengo wa vatirhisi lava hlaleleke tluka',
41 'tog-forceeditsummary' => 'Ndzivutisisi loko ndzinga hoxi nkomiso wa ndzulamiso lowu ndzi wu endleke',
42 'tog-watchlisthideown' => 'Tumbeta mindzulamiso ya mina eka leswi ndzi swi languteke',
43 'tog-watchlisthidebots' => 'Tumbeta mindzulamiso ya rhobhoti eka leswi ndzi swi languteke',
44 'tog-watchlisthideminor' => 'Tumbeta mindzulamiso leyi tsongo eka leswi ndzi swi languteke',
45 'tog-watchlisthideliu' => 'Tumbeta mindzulamiso ya vatirhisiwa lava ngheneke eka leswi ndzi swi languteke',
46 'tog-watchlisthideanons' => 'Tumbeta mindzulamiso ya vatirhisiwa lavanga tivekiki eka leswi ndzi swi languteke',
47 'tog-ccmeonemails' => "Ndzirhumele khopi ya ti e-mail leti ndzi ti rhumelaka van'wana",
48
49 'underline-always' => 'Nkarhi hinkwawo',
50 'underline-never' => "Swinga endleki ni kan'we",
51
52 # Dates
53 'sunday' => 'Sonto',
54 'monday' => 'Musombhunuko',
55 'tuesday' => 'Ravumbirhi',
56 'wednesday' => 'Ravunharhu',
57 'thursday' => 'Ravumune',
58 'friday' => 'Ravunthlanu',
59 'saturday' => 'Muqhivela',
60 'sun' => 'Sont',
61 'mon' => 'Musombhunuko',
62 'tue' => 'Ravumbirhi',
63 'wed' => 'Ravunharhu',
64 'thu' => 'Ravumune',
65 'fri' => 'Ravunthlanu',
66 'sat' => 'Muqhivela',
67 'january' => 'Sunguti',
68 'february' => 'Nyenyenyani',
69 'march' => 'Nyenyankulu',
70 'april' => 'Dzivamusoko',
71 'may_long' => 'Mudyaxihi',
72 'june' => 'Khotavuxika',
73 'july' => 'Mawuwani',
74 'august' => 'Mhawuri',
75 'september' => 'Ndzhati',
76 'october' => 'Nhlangula',
77 'november' => 'Hukuri',
78 'december' => "N'wendzamhala",
79 'january-gen' => 'Sunguti',
80 'february-gen' => 'Nyenyenyani',
81 'march-gen' => 'Nyenyankulu',
82 'april-gen' => 'Dzivamusoko',
83 'may-gen' => 'Mudyaxihi',
84 'june-gen' => 'Khotavuxika',
85 'july-gen' => 'Mawuwani',
86 'august-gen' => 'Mhawuri',
87 'september-gen' => 'Ndzhati',
88 'october-gen' => 'Nhlangula',
89 'november-gen' => 'Hukuri',
90 'december-gen' => "N'wendzamhala",
91 'jan' => 'Nsungu',
92 'feb' => 'Nyenye',
93 'mar' => 'Nyenya',
94 'apr' => 'Dziva',
95 'may' => 'Mudya',
96 'jun' => 'Khota',
97 'jul' => 'Mawu',
98 'aug' => 'Mhawu',
99 'sep' => 'Ndzha',
100 'oct' => 'Nhla',
101 'nov' => 'Huk',
102 'dec' => "N'wen",
103
104 # Categories related messages
105 'pagecategories' => '{{PLURAL:$1|Ntlawa|intlawa}}',
106 'category_header' => 'Matluka eka ntlawa wa "$1"',
107 'subcategories' => 'Mintlawa-ntsongo',
108 'category-media-header' => 'Matluka ya xifaniso kumbe mpfumawulo eka ntlawa wa "$1"',
109 'category-empty' => "''Ntlawa lowu eka nkarhi wa sweswi, wuhava matluka kumbe swifaniso.''",
110 'hidden-categories' => '{{PLURAL:$1|Ntlawa lowu tumbetiweke|Mintlawa leyi tumbetiweke}}',
111 'category-subcat-count' => '{{PLURAL:$2|Ntlawa lowu wukhome mintlawa-ntsongo leyi landzelaka.|Ntlawa lowu wuni {{PLURAL:$1|ntlwa-ntsongo|$1 wa mintlaw-ntsongo}}, eka $2 wa mintlawa-ntsongo.}}',
112 'category-article-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
113 'category-file-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
114 'listingcontinuesabbrev' => 'Mahlwe.',
115 'noindex-category' => 'Matluka lama kayivelaka xikombandlela xa tinhlokomhaka',
116
117 'about' => 'Timhaka hi',
118 'article' => 'Matluka lama tsariweke',
119 'newwindow' => '(Yi pfula e ndhzawini yintswa)',
120 'cancel' => 'Thsika',
121 'moredotdotdot' => "Swin'wana...",
122 'mypage' => 'Tluka ramina',
123 'mytalk' => 'Mbulavulo namina',
124 'anontalk' => 'Vulavula na IP leyi',
125 'navigation' => 'Xikomba ndlela',
126 'and' => '&#32;nakambe',
127
128 # Cologne Blue skin
129 'qbfind' => 'Kuma',
130 'qbedit' => 'Lulamisa',
131 'qbpageoptions' => 'Tluka leri',
132 'qbpageinfo' => 'mongo',
133 'qbmyoptions' => 'Matluka ya mina',
134 'qbspecialpages' => 'Matluka yohlawuleka',
135 'faq' => 'FAQ',
136 'faqpage' => 'Project:FAQ',
137
138 # Vector skin
139 'vector-action-addsection' => 'Veka nholoko ya mhaka',
140 'vector-action-delete' => 'Sula',
141 'vector-action-move' => 'Yi sa kunwana',
142 'vector-action-protect' => 'Sirhelela',
143 'vector-view-create' => 'Tumbuluxa',
144 'vector-view-edit' => 'Lulamisa',
145 'vector-view-history' => 'Languta matimu',
146 'vector-view-view' => 'Hlaya',
147 'vector-view-viewsource' => 'Languta xihlovo',
148 'actions' => 'Swiendlo',
149 'namespaces' => 'Swikhomela viti',
150 'variants' => 'Tinxaka hi ku hambana',
151
152 'errorpagetitle' => 'Xihoxo',
153 'returnto' => 'Thlelela e $1.',
154 'tagline' => 'Kusuka e {{SITENAME}}',
155 'help' => 'Mpfuno',
156 'search' => 'Lava',
157 'searchbutton' => 'Lava',
158 'go' => 'Nghena',
159 'searcharticle' => 'Nghena',
160 'history' => 'Matimu yaTluka',
161 'history_short' => 'Matimu',
162 'printableversion' => 'Vona Ngangliso',
163 'permalink' => 'Xithlavinyeti xa nkarhi hinkwawo',
164 'edit' => 'Lulamisa',
165 'create' => 'Tumbuluxa',
166 'editthispage' => 'Lulamisa Tluka leri',
167 'create-this-page' => 'Tumbuluxa tluka leri',
168 'delete' => 'Sula',
169 'deletethispage' => 'Sula tluka leri',
170 'viewdeleted_short' => 'Vona {{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
171 'protect' => 'Sirhelela',
172 'protect_change' => 'Cinca',
173 'protectthispage' => 'Sirhelela tluka leri',
174 'newpage' => 'Tluka rintswa',
175 'talkpagelinktext' => 'Mbulavulo',
176 'specialpage' => 'Tluka ro hlawuleka',
177 'personaltools' => "Switirhisi swa n'wini",
178 'talk' => 'Mbulavuriswano',
179 'views' => 'Kulanguteka',
180 'toolbox' => 'Bokisi ra switirhisiwa',
181 'otherlanguages' => "Hi ti ndzimi tin'wana",
182 'redirectedfrom' => '(Ritlerisewe kusuka e $1)',
183 'redirectpagesub' => 'Tluka ro kongomisa',
184 'lastmodifiedat' => 'Tluka leri rihetelele ku lulamisiwa hi $1, nkarhi kuri $2.',
185 'jumpto' => 'Tlulela eka:',
186 'jumptonavigation' => 'Xikomba-ndlela',
187 'jumptosearch' => 'Lava',
188
189 # All link text and link target definitions of links into project namespace that get used by other message strings, with the exception of user group pages (see grouppage) and the disambiguation template definition (see disambiguations).
190 'aboutsite' => 'ta {{SITENAME}}',
191 'aboutpage' => 'Project:ta',
192 'copyrightpage' => '{{ns:project}}:Mpfumelelo wo ringisa',
193 'currentevents' => 'Leswi endlekaka sweswi',
194 'currentevents-url' => 'Project:Leswi endlekaka sweswi',
195 'disclaimers' => 'Swi alanandzu',
196 'disclaimerpage' => 'Project:Swithsuxa nadzu hikuangara',
197 'edithelp' => 'Mpfuno hi ta mindzulamiso',
198 'edithelppage' => 'Help:Mindzulamiso',
199 'helppage' => 'Help:Leswinga ndzeni',
200 'mainpage' => 'Tlukankulu',
201 'mainpage-description' => 'Tluka-Nkulu',
202 'policy-url' => 'Project:Policy',
203 'portal' => 'Ntsindza wa muganga',
204 'portal-url' => 'Project:Community Portal',
205 'privacy' => 'Privacy policy',
206 'privacypage' => 'Project:Privacy policy',
207
208 'badaccess' => 'Xihoxo hita mpfumelelo',
209 'badaccess-group0' => 'U hava mpumelelo wo endla xikombelo lexi.',
210 'badaccess-groups' => 'The action you have requested is limited to users in one of the groups $1.',
211
212 'versionrequired' => 'Version $1 of MediaWiki required',
213 'versionrequiredtext' => 'Version $1 of MediaWiki is required to use this page.
214 See [[Special:Version|version page]].',
215
216 'ok' => 'Hiswona',
217 'retrievedfrom' => 'ku suka e "$1"',
218 'youhavenewmessages' => 'U na $1 ($2).',
219 'newmessageslink' => 'Marungula mantswa',
220 'newmessagesdifflink' => 'last change',
221 'youhavenewmessagesmulti' => 'Una marungula mantswa hi $1',
222 'editsection' => 'Lulamisa',
223 'editold' => 'Lulamisa',
224 'viewsourceold' => 'Languta vutsari-ntumbuluko',
225 'editlink' => 'Lulamisa',
226 'viewsourcelink' => 'Languta xihlovo',
227 'editsectionhint' => 'Lulamisa xiphemu: $1',
228 'toc' => 'Leswinga ndzeni',
229 'showtoc' => 'Kombisa',
230 'hidetoc' => 'Tumbeta',
231 'thisisdeleted' => 'Langutisa kumbe Thlerisela $1?',
232 'viewdeleted' => 'Langutisa $1?',
233 'restorelink' => '{{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
234 'feedlinks' => 'Feed:',
235 'feed-invalid' => 'Invalid subscription feed type.',
236 'feed-unavailable' => 'Syndication feeds are not available on {{SITENAME}}',
237 'site-rss-feed' => '$1 RSS Feed',
238 'site-atom-feed' => '$1 Atom Feed',
239 'page-rss-feed' => '"$1" RSS Feed',
240 'page-atom-feed' => '"$1" Atom Feed',
241 'red-link-title' => '$1 (Tluka leri, arisi tsariwa)',
242
243 # Short words for each namespace, by default used in the namespace tab in monobook
244 'nstab-main' => 'Tluka',
245 'nstab-user' => 'Tluka ra mutirhisi',
246 'nstab-media' => 'Media page',
247 'nstab-special' => 'Tluka ro hlawuleka',
248 'nstab-project' => 'Tluka ra Phurojeki',
249 'nstab-image' => 'Fayili',
250 'nstab-mediawiki' => 'Rungula',
251 'nstab-template' => 'Template',
252 'nstab-help' => 'Tluka ra mpfuno',
253 'nstab-category' => 'Xiyenge',
254
255 # Main script and global functions
256 'nosuchaction' => 'Kuhava xiendlo xo tano',
257 'nosuchactiontext' => 'Xikombelo xa URL a xitwisisiwi hi wiki',
258 'nosuchspecialpage' => 'Ku hava Tluka rero rohlawuleka',
259 'nospecialpagetext' => '<strong>U kombele tluka ro hlawuleka ro ka ri nga ri kona.</strong>
260
261 Vona nxaxamelo wa ma tluka yo hlawuleka e [[Special:SpecialPages|{{int:specialpages}}]].',
262
263 # General errors
264 'error' => 'Xihoxo',
265 'databaseerror' => 'Xihoxo xo Database',
266 'dberrortext' => 'A database query syntax error has occurred.
267 This may indicate a bug in the software.
268 The last attempted database query was:
269 <blockquote><tt>$1</tt></blockquote>
270 from within function "<tt>$2</tt>".
271 MySQL returned error "<tt>$3: $4</tt>".',
272 'dberrortextcl' => 'A database query syntax error has occurred.
273 The last attempted database query was:
274 "$1"
275 from within function "$2".
276 MySQL returned error "$3: $4"',
277 'laggedslavemode' => 'Warning: Page may not contain recent updates.',
278 'readonly' => 'Database locked',
279 'enterlockreason' => 'Enter a reason for the lock, including an estimate of when the lock will be released',
280 'readonlytext' => 'The database is currently locked to new entries and other modifications, probably for routine database maintenance, after which it will be back to normal.
281
282 The administrator who locked it offered this explanation: $1',
283 'missing-article' => 'Tsalwa leri uri lavaka eka tluka leri vuriwaka "$1" $2, ari kumekanga eka nghula.
284
285 Leswi swinga vangiwa hi kuva u landzele xithlavinyeti lexi hundzeriweke hi nkari kumbe tluka leri suriweke.
286
287 Loko leswi swingari xona xivangelo, ungava ukume xigalana eka xitirhisi lexi.
288 Ukomberiwa ku pota xigalana lexi eka [[Special:ListUsers/sysop|mulanguteri]], u rhumela na xithlavinyeti xa URL.',
289 'missingarticle-rev' => '(revision#: $1)',
290 'missingarticle-diff' => '(Diff: $1, $2)',
291 'readonly_lag' => 'The database has been automatically locked while the slave database servers catch up to the master',
292 'internalerror' => 'Xihoxo xa le ndzeni',
293 'internalerror_info' => 'Internal error: $1',
294 'filecopyerror' => 'Could not copy file "$1" to "$2".',
295 'filerenameerror' => 'Could not rename file "$1" to "$2".',
296 'filedeleteerror' => 'Could not delete file "$1".',
297 'directorycreateerror' => 'Could not create directory "$1".',
298 'filenotfound' => 'Could not find file "$1".',
299 'fileexistserror' => 'Unable to write to file "$1": file exists',
300 'unexpected' => 'Unexpected value: "$1"="$2".',
301 'formerror' => 'Error: could not submit form',
302 'badarticleerror' => 'This action cannot be performed on this page.',
303 'cannotdelete' => 'Could not delete the page or file specified.
304 It may have already been deleted by someone else.',
305 'badtitle' => 'Bad title',
306 'badtitletext' => 'The requested page title was invalid, empty, or an incorrectly linked inter-language or inter-wiki title.
307 It may contain one or more characters which cannot be used in titles.',
308 'querypage-no-updates' => 'Updates for this page are currently disabled.
309 Data here will not presently be refreshed.',
310 'wrong_wfQuery_params' => 'Incorrect parameters to wfQuery()<br />
311 Function: $1<br />
312 Query: $2',
313 'viewsource' => 'Vona tsalwa-tumbuluxa',
314
315 # Login and logout pages
316 'logouttext' => "'''Uhumile eka wiki leyi.'''
317
318 Ungaya emahlweni utirhisa {{SITENAME}} handle ko tipaluxa, kumbe unga [[Special:UserLogin|pfula unghena nakambe]] tani hi mutirhisa un'wana kumbe kumbe hivuxokoxoko bya wena.
319 Tsundzuka leswaku matluka man'wana mangaha komba onge upfule unghena eka wiki, loko ungasi sula tluka rakhompuyuta leri tsundzukaka matluka lawa uma vhakeleke.",
320 'welcomecreation' => '== Hoyohoyo, eka Wena $1 ! ==
321 Akhawunti yawena yitumbuluxiwile.
322 Unda rivali ku cinca [[Special:Preferences|{{SITENAME}} minhlawulo ya wena]].',
323 'yourname' => 'Vito ra vutirhisi',
324 'yourpassword' => 'Vito-mpfungulo:',
325 'yourpasswordagain' => 'Thlela u hoxa ritompfungulo ra wena:',
326 'remembermypassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
327 'login' => 'Pfula u nghena',
328 'nav-login-createaccount' => 'Pfula unghena / Tumbuluxa akhawunti',
329 'loginprompt' => 'U fanele ku pfumelela swipfuneti leswaku u pfula unghena eka {{SITENAME}}.',
330 'userlogin' => 'Pfula unghena / Tumbuluxa akhawunti',
331 'logout' => 'Pfala u famba',
332 'userlogout' => 'Pfala u famba',
333 'nologin' => 'Xana upfumala akhawunti? $1.',
334 'nologinlink' => 'Tumbuluxa akhawunti',
335 'createaccount' => 'Tumbuluxa akhawunti',
336 'gotaccount' => 'Xna una akhawunti hi khale? $1.',
337 'gotaccountlink' => 'Pfula unghena',
338 'userlogin-resetlink' => 'Xana u rivele vuxokoxoko bya wena byo pfula unghena?',
339 'loginsuccess' => "'''Ule ndzeni ka {{SITENAME}} tani hi \"\$1\".'''",
340 'mailmypassword' => 'Rhumela vito-mpfungulo lerintwsa',
341 'loginlanguagelabel' => 'Ririmi: $1',
342
343 # Edit page toolbar
344 'bold_sample' => 'Marito yo bumbula',
345 'bold_tip' => 'Marito yo bumbula',
346 'italic_sample' => 'Tsalawa ra xitaliki',
347 'italic_tip' => 'Tsalawa ra xitaliki',
348 'link_sample' => 'Khwekerisa nhlokomhaka',
349 'link_tip' => 'Xikhwekerisi xala ndzeni ka wiki leyi',
350 'extlink_sample' => 'http://www.example.com khwekerisa nhlokomhaka',
351 'extlink_tip' => 'Xikhwekerisi xa tluka ralehandle ka wiki leyi (tsundzuka xi rhangi xa http:// )',
352 'headline_sample' => 'tsala ra nhlokomhaka',
353 'headline_tip' => 'Nhloko mhaka ya xiyenge xa 2',
354 'nowiki_sample' => 'Hoxa xivulwa lexi nga sasekisiwangiki mavonele laha',
355 'nowiki_tip' => 'bakanya kuxongisa marito ka wiki',
356 'image_tip' => 'Fayili leyi angarhiweke',
357 'media_tip' => 'Xikhwekerisi xa fayili',
358 'sig_tip' => 'Nsayino wawena wurina mfungo wa nkarhi',
359 'hr_tip' => 'Ntila wo khwatiheta (wu tirhise hivukheta)',
360
361 # Edit pages
362 'summary' => 'Nkomiso:',
363 'minoredit' => 'Lowu i ndzulamiso wu tsongo',
364 'watchthis' => 'Langutisa tluka leri',
365 'savearticle' => 'Hlayisa tluka',
366 'preview' => 'Ringanisa',
367 'showpreview' => 'Komba kuringanisa',
368 'showdiff' => 'Komba ku cinca',
369 'anoneditwarning' => "'''Watsundzuxiwa:''' awu pfulanga unghena eka wiki leyi.
370 Adirese ya khompuyuta ya wena ya IP yita tsariwa eka matimu ya ku lulamisiwa ka tluka leri.",
371 'newarticle' => '(yintswa)',
372 'newarticletext' => "Ulandzele xikhwekerisi lexi kombaka tluka leringasi tsariwaka.
373 Leswaku u tumbuluxa tluka leri, tsala eka bokisi leringa e hansi (Nkambe unga ye eka [[{{MediaWiki:Helppage}}|tluka ra mpfuno]] kukuma vuxokoxoko lebyi engetelekeke).
374 Loko ufike eka tluka leri hixihoxo, thlava bhatheni leyinge '''thlelela'''.",
375 'noarticletext' => 'Kuhava matsalwa eka tluka leri.
376 Unga [[Special:Search/{{PAGENAME}}|lavalava nhlokomhaka ya tluka leri]] eka matluka man\'wana,
377 <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAMEE}}}} lavalava eka nghula leyiyelanaka],
378 kumbe [{{fullurl:{{FULLPAGENAME}}|action=edit}} u hlakarhelisa tluka leri]</span>.',
379 'noarticletext-nopermission' => 'Kuhava matsalwa eka tluka leri.
380 Unga [[Special:Search/{{PAGENAME}}|lavalava nhlokomhaka ya tluka leri]] endzeni ka matluka man\'wana,
381 kumbe u <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAMEE}}}} lavalava eka nghula leyiyelanaka]</span>.',
382 'previewnote' => "'''Lowu i ndzinganiso ntsena;
383 kucinca a ku sihlayisiwa!'''",
384 'editing' => 'Ulekululamiseni ka $1',
385 'editingsection' => 'Ndzulamiso wa $1 (Xiyenge)',
386 'copyrightwarning' => "Xiya leswaku minyikelo hinkwayo e ka {{SITENAME}} yi tekiwa yitshuxiwe e hansi ka $2 (Vona $1 ku kuma vuxokoxoko).
387 loko unga tsakeli leswaku vutsari bya wena byi lulamisiwa no aviwa handle ko tweriwa vusiwana, unga tsari laha.<br />
388 U hi tshembisa nakambe leswaku hi wena mutsari wa leswi nyikeriwaka laha, kumbe leswi u swinyikelaka u swi tekile e xihloveni xa lerivaleni kumbe laha kunga na mpfumelelo wa mani na mani.
389 '''UNGA RHUMERI MATSALWA LA MA SIRHELERIWEKE HANDLE KA MPFUMELELO!'''",
390 'templatesused' => '{{PLURAL:$1|Xivumbiwa ntirho lexi|Swivumbiwa ntirho leswi}} tirhisiweke eka tluka leri:',
391 'template-protected' => '(Ri sirheleriwile)',
392 'template-semiprotected' => '(lisirheleriwile switsanana)',
393 'hiddencategories' => 'Tluka leri i nandza wa {{PLURAL:$1|ntlwa lowu tumbetiweke|$1 mintlawa leyi tumbetiweke}}:',
394 'permissionserrorstext-withaction' => 'Awupfumeleriwanga ku $2, hikwalaho ka {{PLURAL:$1|wa xivangelo|wa swivangelo}}:',
395 'recreate-moveddeleted-warn' => "'''Tivonele: utumbuluxa tluka leri raha ku suriwa kungarikhale.'''
396
397 Nhlahluvisisa loko swifanerile ku ya emahlweni u lulamisa tluka leri.
398 Matimu yo sula no susa ma kombila laha ehansi ku ku pfuna:",
399 'moveddeleted-notice' => 'Tluka leri ri suriwile.
400 nhula ya minxaxamelo leyi kombaka ku suriwa na ku susiwa ka tluka leri ya kombiwa laha ehansi.',
401
402 # Parser/template warnings
403 'post-expand-template-inclusion-warning' => "'''Tivonele:''' xivumbiwa-ntirho xa ntsengo xitele ngopfu.
404 swivumbiwa-ntirho swin'wana aswinge xaxametiwi.",
405 'post-expand-template-inclusion-category' => 'Matluka lawa xivumbiwa-ntirho xa wona xi lavaka ntsengo ma hundze mpimo',
406 'post-expand-template-argument-warning' => "'''Tivonele:''' Tluka leri ritamele xin'we xaswi hlamuseri kumbe kutlula xa xivumbiwa ntirho lexi tlulaka mpiwo wo ndlndlamuxa xivumbiwa-ntirho lexi.
407 Swi hlamuseri leswi swi susiwile eka tluka leri.",
408 'post-expand-template-argument-category' => 'Matluka lama kayivelaka swihlamuseri leswitirhisiwaka hi swivumbiwa-ntirho',
409
410 # History pages
411 'viewpagelogs' => 'Vona nghula ya minxaxamelo ya tluka leri',
412 'currentrev-asof' => 'Mindzulamiso ya sweswinyana ya $1',
413 'revisionasof' => 'Ndzulamiso kusukela hi $1',
414 'revision-info' => 'Mindzulamiso ku sukela hi $1 leyi endliweke hi $2',
415 'previousrevision' => '← Ndzulamiso wakhale',
416 'nextrevision' => 'Ndzulamiso wa sweswinyana →',
417 'currentrevisionlink' => 'Ndzulamiso wasweswinyana',
418 'cur' => 'sweswi',
419 'next' => 'Leswilandzelaka',
420 'last' => 'Swo hetelela',
421 'page_first' => 'Xo sungula',
422 'histlegend' => "Leswaku uhambanisa mindzulamiso: thlava eka swifungu swa xirhendzevutana swa mindzulamiso leyi hambanaka ivi u thlava bhatheni leyi nge \"Enter\" eka Khibhodi ya wena kumbe bhatheni leyi kombiweke ehansi.<br />
423 Swihlamuseri: '''({{int:sweswi}})''' = kuhambana na ndzulamiso wa sweswinyana, '''({{int:khale}})''' = kuhambana na ndzulamiso lowu tlhandlamaka lowu, '''{{int:minoreditletter}}''' = ndzulamiso lowu tsanana.",
424 'history-fieldset-title' => 'Langutisa matimu',
425 'history-show-deleted' => 'Leswi suriweke ntsena',
426 'histfirst' => 'Swa khalenyana',
427 'histlast' => 'Swa sweswinyana',
428
429 # Revision feed
430 'history-feed-item-nocomment' => '$1 hi $2',
431
432 # Revision deletion
433 'rev-delundel' => 'Komba/Tumbeta',
434 'revdel-restore' => 'Cinca kuvoniwa',
435 'revdel-restore-deleted' => 'Mindzulamiso leyi suriweke',
436 'revdel-restore-visible' => 'mindzulamiso leyi voniwaka himani na mani',
437 'pagehist' => 'Matimu ya tluka',
438 'deletedhist' => 'Matimu lamasuriweke',
439
440 # Merge log
441 'revertmerge' => 'Hambanisa',
442
443 # Diffs
444 'history-title' => 'Matimu ya mindulamiso ya "$1"',
445 'lineno' => 'Ntila $1:',
446 'compareselectedversions' => 'Hambaniisa exikarhi ka mindzulamiso leyi langiweke',
447 'editundo' => 'Thlerisela',
448 'diff-multi' => '({{PLURAL:$1|ndzulamiso lowu landzeleke|$1 mindzulamiso leyi landzeleke}} hi {{PLURAL:$2|mutirhisi|$2 wavatirhisi}} leyingakombiwangiki)',
449
450 # Search results
451 'searchresults' => 'Lavisisa eka mimbuyelo',
452 'searchresults-title' => 'Lavisisa "$1" eka mimbuyelo',
453 'prevn' => 'Leswihundzeke {{PLURAL:$1|$1}}',
454 'nextn' => 'Leswilandzelaka {{PLURAL:$1|$1}}',
455 'prevn-title' => '$1 {{PLURAL:$1|nkutlunya lo wu|minkutlunya leyi}} hundzeke',
456 'nextn-title' => '$1 {{PLURAL:$1|nkutlunya lowu|minkutlunya leyi}} landzelaka',
457 'shown-title' => "Komba $1 {{PLURAL:$1|mbuyelo|mimbuyelo}} eka tluka rin'wana na ri n'wana",
458 'viewprevnext' => 'Vona ($1 {{int:pipe-separator}} $2) ($3)',
459 'searchmenu-exists' => "'''Tluka leri vuriwaka \"[[:\$1]]\" ikhale ririkona eka wiki leyi.'''",
460 'searchmenu-new' => "'''Tumbuluxa tluka ra \"[[:\$1]]\" eka wiki leyi!'''",
461 'searchhelp-url' => 'Help:Leswinga ndzeni',
462 'searchprofile-articles' => 'Matluka lama tsariweke',
463 'searchprofile-project' => 'Mpfuno na matluka ya phurojeki',
464 'searchprofile-images' => 'Tifayili ta mfpumawulo na swifaniso',
465 'searchprofile-everything' => 'Hinkwaswo',
466 'searchprofile-advanced' => 'Rharhangana',
467 'searchprofile-articles-tooltip' => 'Lavisisa eka $1',
468 'searchprofile-project-tooltip' => 'Lavisisa eka $1',
469 'searchprofile-images-tooltip' => 'Lava tifayili',
470 'searchprofile-everything-tooltip' => 'Lavalava eka matsalwa hinkwawo (kuhlanganisa na matluka ya mbulavulo)',
471 'searchprofile-advanced-tooltip' => 'Lavalava eka swisivela mavito leswi tolovelekeke',
472 'search-result-size' => '$1 ({{PLURAL:$2|1 viti|$2 maviti}})',
473 'search-result-category-size' => '{{PLURAL:$1|nandza|$1 wa malandza}} ({{PLURAL:$2|ntlawa-ntsongo|$2 wa mintlawa-ntsongo}}, {{PLURAL:$3|fayili|$3 wa tifayili}})',
474 'search-redirect' => '(nkongomiso kusaka e $1)',
475 'search-section' => '(Xiyenge $1)',
476 'search-suggest' => 'Xana uvula: $1',
477 'search-interwiki-more' => '(Leswi engetelekeke)',
478 'search-relatedarticle' => 'Leswi yelanaka',
479 'searchrelated' => 'Yelanaka',
480 'searchall' => 'Hinkwaswo',
481 'showingresults' => "Kombisa e hansi kufika eka {{PLURAL:$1|'''1''' mbuyelo|'''$1''' mimbuyelo}} Kusungula hi#'''$2'''.",
482 'showingresultsheader' => "{{PLURAL:$5|nkutlunya '''$1''' wa '''$3''' lowu|minkutlunya '''$1 - $2''' ya '''$3''' leyi}} yelanaka na '''$4'''",
483 'search-nonefound' => 'Kuhava mimbuyelo leyi yelanaka xikombelo lexi.',
484 'powersearch' => 'Ndzavisiso',
485
486 # Preferences page
487 'mypreferences' => 'Minhlawulo ya mina',
488 'prefs-edits' => 'Ntsengo wa mindzulamiso:',
489 'skin-preview' => 'Ndzinganiso',
490 'prefs-datetime' => 'Siku na nkarhi',
491 'prefs-watchlist' => 'Nxaxamelo wa Leswivoniwaka',
492 'saveprefs' => 'Hlayisa',
493 'resetprefs' => 'sula kucinca lokungahlayisiwangiki',
494 'prefs-editing' => 'Kululamisa',
495 'youremail' => 'E-mail:',
496 'yourrealname' => 'Vito ra ntiyiso:',
497 'yourlanguage' => 'Ririmi:',
498 'prefs-help-email' => 'Adiresi ya e-mail ayibohi, kambe yita laveka leswaku u cinca ritompfungulo ra wena, loko swiendleka leswaku u ri rivala.',
499 'prefs-help-email-others' => "Unga langa leswaku van'wana va bula na wena hi e-mail hikutirhisa xikhwekeri eka tluka ra wena ravutirhis kumbe eka tluka ra mbulavulo.
500 Adiresi ya wena ya e-mail yitunberile loko van'wana va bula na wena.",
501
502 # Rights
503 'right-read' => 'Matluka yo Hlaya',
504 'right-edit' => 'Lulamisa ma tluka',
505 'right-createpage' => 'Tumbuluxa matluka (mangariki eka matluka ya mbulavulo)',
506 'right-createtalk' => 'Tumbuluxa matluka ya mbulavulo',
507 'right-move' => "Yisa matluka lawa kun'wana",
508 'right-move-subpages' => "yisa matluka lawa na matluka-ntsongo ya wona, kun'wana",
509 'right-delete' => 'Sula matluka lawa',
510
511 # Associated actions - in the sentence "You do not have permission to X"
512 'action-read' => 'hlaya tluka leri',
513 'action-edit' => 'Lulamisa tluka leri',
514 'action-createpage' => 'tumuluxa matluka',
515 'action-createtalk' => 'tumbuluxa matluka ya mbulavulo',
516 'action-createaccount' => 'tumbuluxa akhawunti ya mutirhisi loyi',
517 'action-minoredit' => 'funga ndzulamiso lowu wulri lowintsanana',
518 'action-move' => 'Yisa tluka leri ndhzawini yinwana',
519 'action-move-subpages' => "Yisa tlukaleri na matluka-nstongo ya rona, endzawini yinw'ana",
520
521 # Recent changes
522 'nchanges' => '$1 {{PLURAL:$1|wa ndzulamiso|wa mindzulamiso}}',
523 'recentchanges' => 'Ku cinca ka sweswi-nyana',
524 'recentchanges-legend' => 'Tindlela to langutisa ku cinca ka sweswinyana',
525 'recentchangestext' => 'Landzelela mindzulamiso ya sweswinyana ya wiki leyi eka tluka leri.',
526 'recentchanges-feed-description' => 'Landzelela mindzulamiso ya sweswinyana eka wiki leyi hi xiphameri-hungu lexi.',
527 'recentchanges-label-newpage' => 'Ndzulamiso lowu wu tumbuluxe tluka rintswa',
528 'recentchanges-label-minor' => 'Lowu i ndzulamiso wu tsongo',
529 'recentchanges-label-bot' => 'Ndzulamiso lowu wu endliwe hi rhobhoto',
530 'recentchanges-label-unpatrolled' => 'Ndzulamiso lowu awusi languteriwa',
531 'rcnote' => "Lha hansi ku kombiwa {{PLURAL:$1|ku cinca|''$1''' wa mindzulamiso}} endzeni ka {{PLURAL:$2|siku|'''$2''' wa masiku}} lamahundzeke, hi $5, $4.",
532 'rcnotefrom' => "Laha hansi kuxaxametiwe ku cinca kusukela hi '''$2''' (kuya ka '''$1''').",
533 'rclistfrom' => 'Komba mindzilamiso leyintswa kusukela eka $1',
534 'rcshowhideminor' => '$1 wa mindzulamiso leyi ntsanana',
535 'rcshowhidebots' => '$1 wati rhobhoto',
536 'rcshowhideliu' => '$1 wa va tirhisi lavanga kona sweswi',
537 'rcshowhideanons' => '$1 wa vatirhisi lava tumbeleke',
538 'rcshowhidepatr' => '$1 mundzulamiso leyi languteriweke',
539 'rcshowhidemine' => '$1 wa mindzulamiso ya mina',
540 'rclinks' => 'Kumba $1 ya ku cinca eka $2 wa masiku lamahundzeke<br />$3',
541 'diff' => 'Hamban',
542 'hist' => 'Matimu',
543 'hide' => 'Tumbeta',
544 'show' => 'Komba',
545 'minoreditletter' => 'Tsan',
546 'newpageletter' => 'Rintswa',
547 'boteditletter' => 'Rhob',
548 'rc-enhanced-expand' => 'Komba vuxokoxoko (yi tirhisa tswala ra Java)',
549 'rc-enhanced-hide' => 'Tumbeta vuxokoxoko',
550
551 # Recent changes linked
552 'recentchangeslinked' => 'Kuncica loku yelanaka',
553 'recentchangeslinked-feed' => 'Kuncica loku yelanaka',
554 'recentchangeslinked-toolbox' => 'Kuncica loku yelanaka',
555 'recentchangeslinked-title' => 'Kucinca loku yelanaka na "$1"',
556 'recentchangeslinked-noresult' => 'Kuhava mindzulamiso eka matluka lawa eka nkarhi lowu langiweke',
557 'recentchangeslinked-summary' => "Lowu inxaxamelo wa kucinca kasweswinyana loku endliweke eka matluka la ma khwekelaka eka tluka leri u rilavaka (kumbe yinw'ana ya mitlawa leyi yelanaka).Matluka lawa [[Special:Watchlist|umalanguteke]] ma '''bumburisiwile'''.",
558 'recentchangeslinked-page' => 'Vito ratluka:',
559 'recentchangeslinked-to' => 'Komba kucinca eka matluka lama khwekelaka eka tluka leri ntsena',
560
561 # Upload
562 'upload' => 'Khandziyisa fayili',
563 'uploadlogpage' => 'Ngula ya nxaxamelo wa swilo leswi hoxiweke',
564 'filedesc' => 'Nkomiso',
565 'uploadedimage' => 'kuhoxiwe fayili ya "[[$1]]"',
566
567 'license' => 'Nawu wo pfumelela',
568 'license-header' => 'Nawu wo pfumelela',
569
570 # Special:ListFiles
571 'imgfile' => 'fayili',
572 'listfiles' => 'Nxaxamelo wa tifayili',
573 'listfiles_date' => 'Siku',
574 'listfiles_name' => 'vito',
575
576 # File description page
577 'file-anchor-link' => 'Fayili',
578 'filehist' => 'Matimu ya fayili',
579 'filehist-help' => 'thlava eka siku/nkarhi leswaku u vona leswi fayili ayirixiswona hi knarhi walowo',
580 'filehist-deleteall' => 'sula hinkwaswo',
581 'filehist-deleteone' => 'Dlaya',
582 'filehist-revert' => 'thlerisela',
583 'filehist-current' => 'Sweswinyana',
584 'filehist-datetime' => 'Siku/Nkarhi',
585 'filehist-thumb' => 'Xifanisonyana',
586 'filehist-thumbtext' => 'Xifaniso lexi tsongahatiweke kusukela hi $1',
587 'filehist-user' => 'Mutirhisi',
588 'filehist-dimensions' => 'Mpimo',
589 'filehist-comment' => 'Nhlamulo',
590 'imagelinks' => 'Ntsengo wakutirhisiwa ka fiyili leyi',
591 'linkstoimage' => ' {{PLURAL:$1|tluka leri rikhekela|$1 matluka lawa makhwekela}} eka fayili leyi:',
592 'nolinkstoimage' => 'Kuhava tluka leri khwekelaka eka fayili leyi',
593 'sharedupload-desc-here' => "Fayili leyi yi suka e $1 naswona swinga endleka leswaku yi tirhisiwa hiti phurojeki tin'wanana.
594 Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombiweke ehansi.",
595
596 # Random page
597 'randompage' => "Tluka rin'wana na rin'wana",
598
599 # Statistics
600 'statistics' => 'Mintsengo',
601
602 'disambiguationspage' => 'Template:Hambanisa marito',
603
604 # Miscellaneous special pages
605 'nbytes' => '$1 {{PLURAL:$1|wa bayiti|wa tibayit}}',
606 'nmembers' => '$1 {{PLURAL:$1|museketeri| wa vaseketeri}}',
607 'prefixindex' => 'Matluka hinkwawo lama sungulaka hi',
608 'usercreated' => '{{GENDER:$3|u tumbuluxe}} hi siku ra $1 hinkarhi wa $2',
609 'newpages' => 'Matluka mantswa',
610 'move' => 'Yi sa kunwana',
611 'movethispage' => 'Yisa tluka leri ndhzawini yinwana',
612 'pager-newer-n' => '{{PLURAL:$1|xa khale|$1 swa khale}}',
613 'pager-older-n' => '{{PLURAL:$1|ra khale|$1 ya khale}}',
614
615 # Book sources
616 'booksources' => 'Swihlovo swatibuku',
617 'booksources-search-legend' => 'Lavalava swihlovo swa tibuku',
618 'booksources-go' => 'Nghena',
619
620 # Special:Log
621 'specialloguserlabel' => 'Mutirhisi:',
622 'log' => 'Nghula ya minxaxamelo',
623
624 # Special:AllPages
625 'allpages' => 'Matluka hinkwawo',
626 'alphaindexline' => '$1 kuya fika eka $2',
627 'allarticles' => 'Matluka hinkwawo',
628 'allpagessubmit' => 'Nghena',
629
630 # Special:Categories
631 'categories' => 'Mintlawa',
632
633 # Special:LinkSearch
634 'linksearch-ok' => 'Lava',
635 'linksearch-line' => '$1 yi khwekerisiwe kusuka eka $2',
636
637 # Special:Log/newusers
638 'newuserlogpage' => 'Nghula ya nxaxamelo wa ku tumbuluxiwa ka vatirhisi',
639
640 # Special:ListGroupRights
641 'listgrouprights-members' => '(nxaxamelo wa valandzeri)',
642
643 # E-mail user
644 'emailuser' => 'rhumela mutirhisi loyi E-mail',
645
646 # Watchlist
647 'watchlist' => 'Leswi ndziswilanguteke',
648 'mywatchlist' => 'Leswi ndziswilanguteke',
649 'watchlistfor2' => 'Swa $1 $2',
650 'watch' => 'Languta',
651 'watchthispage' => 'Languta tluka leri',
652 'unwatch' => 'Ungalanguti',
653 'watchlist-details' => '{{PLURAL:$1|$1 tluka|$1 wa matluka}} eka nxaxamelo wa leswi uswilanguteke, kungasi hlayiwa matluka yu mbulavulo.',
654 'wlshowlast' => 'Komba $1 wati awara $2 wa masiku kumbe $3',
655 'watchlist-options' => 'Minhlawulo ya nxaxamelo wa leswilangutiweke',
656
657 # Displayed when you click the "watch" button and it is in the process of watching
658 'watching' => 'Ulangutile...',
659 'unwatching' => 'Utshika ku languta...',
660
661 # Delete
662 'actioncomplete' => 'Swiendlekile',
663 'actionfailed' => 'Switsandzile',
664 'dellogpage' => 'Nghula ya matluka lama suriweke',
665
666 # Rollback
667 'rollbacklink' => 'thlerisela ku cinca',
668
669 # Protect
670 'protectlogpage' => 'Nghula ya minxaxamelo ya matsalwa lama sirheleriweke',
671 'protectedarticle' => '"[[$1]]" risirheleriwile',
672
673 # Undelete
674 'undeletelink' => 'Langutisa/thlerisela',
675 'undeleteviewlink' => 'Langutisa',
676
677 # Namespace form on various pages
678 'namespace' => 'Swikhomela viti',
679 'invert' => 'Invert selection',
680 'blanknamespace' => '(Ntsindza)',
681
682 # Contributions
683 'contributions' => 'Minyikelo ya mutirhisi',
684 'contributions-title' => 'Minyikelo ya vutirhisi ya $1',
685 'mycontris' => 'Minyikelo ya mina',
686 'contribsub2' => 'For $1 ($2)',
687 'nocontribs' => 'Ku hava ku cinca loku kumiweke eka xiyenge lexi.',
688 'uctop' => '(Henhla)',
689 'month' => 'Kusukela e ka nhweti ya (kuya endhzaku):',
690 'year' => 'Ku sukela e ka lembe ra (kuya endhzaku):',
691
692 'sp-contributions-newbies' => 'Komba minyikela ya ti akhawunti tintswa ntsena',
693 'sp-contributions-newbies-sub' => 'Eka ti akhawunti ti ntswa',
694 'sp-contributions-blocklog' => 'Ngula ya nxaxamelo wa kusivela',
695 'sp-contributions-uploads' => 'Nxaxamelo wa ku nghenisa',
696 'sp-contributions-logs' => 'Nghula ya nxaxamelo',
697 'sp-contributions-talk' => 'Mbulavulo',
698 'sp-contributions-search' => 'Lava minyikelo',
699 'sp-contributions-username' => 'Hoxa Direse ya IP kumbe vito ra mutirhisi:',
700 'sp-contributions-toponly' => 'Komba ntsena mindzulamiso leyi yinga haku endliwa sweswinyana',
701 'sp-contributions-submit' => 'Lava',
702
703 # What links here
704 'whatlinkshere' => 'Leswi khwekelaka laha',
705 'whatlinkshere-title' => 'Matluka lama khwekelaka eka $1',
706 'whatlinkshere-page' => 'Tluka:',
707 'linkshere' => "Matluka lama landzelaka makhwekela eka '''[[:$1]]''':",
708 'nolinkshere' => "Kuhava matluka lama khwekelaka eka '''[[:$1]]'''.",
709 'isredirect' => 'Tluka ro kongomisa',
710 'istemplate' => 'Swisivela ndhzawu',
711 'isimage' => 'Xikhwekerisi xa fayili',
712 'whatlinkshere-prev' => '{{PLURAL:$1|leri hundzeka| $1 lama hundzeke}}',
713 'whatlinkshere-next' => '{{PLURAL:$1|lowu landzelaka| $1 leyi landzelaka}}',
714 'whatlinkshere-links' => '← Swikhwekerisi',
715 'whatlinkshere-hideredirs' => '$1 ya matluka yo thlerisela',
716 'whatlinkshere-hidetrans' => '$1 wa swisivela ndhzawu',
717 'whatlinkshere-hidelinks' => '$1 wa swikhwekeri',
718 'whatlinkshere-hideimages' => '$1 swikhwekerisi saw xifaniso',
719 'whatlinkshere-filters' => 'Tinhlelo',
720
721 # Block/unblock
722 'ipboptions' => "Ti awara timbirhi:2 hours,Siku rin'we:1 day,Masiku manharhu:3 days,Vhiki rin'we:1 week,Mavhiki manbirhi:2 weeks,Nhweti yin'we:1 month,Tinwheti tinharhu:3 months,Tinhweti ta ntsevu:6 months,Lembe rin'we:1 year,hilaha kungaheriki:infinite",
723 'ipblocklist' => 'Vatirhisi lava siveriweke',
724 'blocklink' => 'Sivela',
725 'unblocklink' => 'Cinca kusivela',
726 'change-blocklink' => 'Cinca xirhapa',
727 'contribslink' => 'Minyikelo',
728 'blocklogpage' => 'Ngula ya nxaxamelo wa kusiverwa ka vatirhisi',
729 'blocklogentry' => 'Nsivelo wa mutirhisi [[$1]] wu hela hi $2 $3',
730 'block-log-flags-nocreate' => 'Kupfula akhawunti swa arisiwa',
731
732 # Move page
733 'movelogpage' => 'Nghula ya nxaxamelo waku susiwa',
734 'revertmove' => 'thlerisela',
735
736 # Export
737 'export' => 'Rhumela matluka ehandle ka wiki',
738
739 # Namespace 8 related
740 'allmessagesname' => 'Vito',
741 'allmessagesdefault' => 'Tsalwa-hungu leri tolovelekeke',
742
743 # Thumbnails
744 'thumbnail-more' => 'Kurisa',
745 'thumbnail_error' => 'Kuvena xihoxo ekutumbuluxiweni ka xifaniso-ntongo hkwalaho ka: $1',
746
747 # Tooltip help for the actions
748 'tooltip-pt-userpage' => 'Tluka ra wena ra vutirhisi',
749 'tooltip-pt-mytalk' => 'Tluka ro vulavula ra wena',
750 'tooltip-pt-preferences' => 'Minyikelo ya mina',
751 'tooltip-pt-watchlist' => 'Nxaxamelo wa matluka lawa umalanguteleke kucinca',
752 'tooltip-pt-mycontris' => 'Nxaxamelo wa minyikelo hinkwayo ya wena',
753 'tooltip-pt-login' => 'Utsundzuxiwa ku pfula unghena; hambiswiritano, aswi bohi',
754 'tooltip-pt-logout' => 'pfala u famba',
755 'tooltip-ca-talk' => 'Mbulavuriswano hi tluka',
756 'tooltip-ca-edit' => 'Unga lulamisa tluka leri. Ukomberiwa ku komba kuringanisa ka ku cinca ka wena ungasi rihlayisa',
757 'tooltip-ca-addsection' => 'Sungula xiyenge lexinthswa',
758 'tooltip-ca-viewsource' => 'Papila leri risirheleriwile.
759 Unga vona xit\\holvo xa rona',
760 'tooltip-ca-history' => 'Mindzulamiso yakhale ya tluka leri',
761 'tooltip-ca-protect' => 'Sirhelela tluka leri',
762 'tooltip-ca-delete' => 'Sula tluka leri',
763 'tooltip-ca-move' => 'Veka tluka endhzawini yinwana',
764 'tooltip-ca-watch' => 'Hoxa tluka leri eka leswi uswilanguteke',
765 'tooltip-ca-unwatch' => 'Susa tluka leri eka leswi uswi languteke',
766 'tooltip-search' => 'Lavisisa {{SITENAME}}',
767 'tooltip-search-go' => 'Yana eka tluka leri fanaka na viti leri loko ririkona',
768 'tooltip-search-fulltext' => 'Lavisisa riviti leri eka matluka lawa',
769 'tooltip-p-logo' => 'Vhakela tluka-nkulu',
770 'tooltip-n-mainpage' => 'Endzela tlukankulu',
771 'tooltip-n-mainpage-description' => 'Vhakela tlukankulu',
772 'tooltip-n-portal' => 'Leswi engetelekeke hi phurojeki leyi, leswi undla swi endlaka, laha unga kumana kona switirhisiwa',
773 'tooltip-n-currentevents' => 'Kuma vuxokoxoko hi leswi endlekaka sweswi',
774 'tooltip-n-recentchanges' => 'Nxaxamelo wa kucinca ka sweswinyana eka wiki',
775 'tooltip-n-randompage' => "Vona tluka rin'wana na rin'wana",
776 'tooltip-n-help' => 'Ndzawu yo twisisa leswi',
777 'tooltip-t-whatlinkshere' => 'Nxaxamelo wa matluka lama khwekelaka laha',
778 'tooltip-t-recentchangeslinked' => 'Kucinca kasweswinyana ka matluka la ma thlavinyetiweke eka tluka leri',
779 'tooltip-feed-atom' => 'Vuhaxi bya Atom bya tluka leri',
780 'tooltip-t-contributions' => 'Nxaxamelo wa minyikelo ya mutirhisi loyi',
781 'tooltip-t-emailuser' => 'Rhumela mutirhisa loyi e-mail',
782 'tooltip-t-upload' => 'Khandziyisa tifayili',
783 'tooltip-t-specialpages' => 'Nxaxamelo wa matluka yo hlawuleka',
784 'tooltip-t-print' => 'Gangliso wa tluka leri',
785 'tooltip-t-permalink' => 'Xithlavinyeti xa nkarhi hinkwawo xa ndzulamiso wa tluka',
786 'tooltip-ca-nstab-main' => 'Langutisa tluka ra matsalwa',
787 'tooltip-ca-nstab-user' => 'Vona tluka ra mutirhisi',
788 'tooltip-ca-nstab-special' => 'Tluka leri rihlawulekile, awu pfumeleriwanga ku endla ndzulamiso eka rona hikukongoma',
789 'tooltip-ca-nstab-project' => 'Vona tluka ra phurojeki',
790 'tooltip-ca-nstab-image' => 'Vona tluka ra fayili leyi',
791 'tooltip-ca-nstab-template' => 'Langutisa xivumbiwa-ntirho',
792 'tooltip-ca-nstab-category' => 'Langutisa tluka ra ntlawa',
793 'tooltip-minoredit' => 'Fungha ndzulamiso lowu wuri lowu tsanana',
794 'tooltip-save' => 'Hlayiso ku cinca ka wena',
795 'tooltip-preview' => 'Ringanisa ku cinca loku uku endleke, Tirhisa Xitirhisiwa lexi ungasi hlayisa tluka leri!',
796 'tooltip-diff' => 'Komba kucinca loku uku endleke aka xivulwa',
797 'tooltip-compareselectedversions' => 'Vona kuhambana exikarhi ka mindzulamiso leyi uyilangeke ya tluka leri',
798 'tooltip-watch' => 'Hoxa tluka leri eka nxaxamelo wa matluka lawa umalanguteke',
799 'tooltip-rollback' => 'Xirhangi "Thlerisela" xita thlerisela ku cinca lokuendliweke hi mutirhisi wo hetelela eka tluka leri hi ku thlava kan\'we',
800 'tooltip-undo' => 'xi angi "thlerisela" xithlerisela ndzulamiso lowu naswona xi pfula foromo yo lulamisa eka matsamelo yo ringanisa ndzulamiso. Yipfumela leswaku u engetela xivangela xa ndzulamiso lowu eka nkomiso.',
801 'tooltip-summary' => 'Tsala nkomiso',
802
803 # Browsing diffs
804 'previousdiff' => '← Ndzulamiso wakhale',
805 'nextdiff' => 'Ndzulamiso lowu ntswa →',
806
807 # Media information
808 'file-info-size' => '$1 × $2 ku anama na leha hi ti phikisele, Vukulu bya fayili: $3, muxaka waMIME: $4',
809 'file-nohires' => 'Xifaniso lexi axikuriseki kuhundza laha.',
810 'svg-long-desc' => 'Fayili ya SVG, vukulu lebyi ringaneke $1 × $2 hi ti phikisele, vukulu bya fayili: $3',
811 'show-big-image' => 'kuleha na ku anama hixitalo',
812
813 # Bad image list
814 'bad_image_list' => 'Minxaxamelo leyi landzelaka yi andlariwe hindlela leyi:
815
816 nxaxamelo wa mintila (Mintila leyi sungulaka hi *) ntsena le yi kombiwaka.
817 Xithlavinyeti xo sungula eka ntila i xithlavinyeta fayili leyi onhiweke.
818 Swithlavinyeti leswi engetelekeke eka ntila lowu fanaka swilangutiwa swiri swihambukisi, hileswaku matluka lawa fiyili yinga kumekaka kona endzeni.',
819
820 # Metadata
821 'metadata' => 'Nghula ya vuxokoxoko',
822 'metadata-help' => 'Fayili leyi yi khome vuxokoxoko lebyi engetelekeke, swingaendleka yi hoxiwile kusuka eka Khemera kumbe muchini wo gandlisa lowu tirhisiweke ku yi tumbuluxa.
823 Loko fayili yi antswisiwile kusukela eka matshamelo ya yona yo sungula, vuxokoxoko bya yona byinga va byi cincile.',
824 'metadata-fields' => "Vuxokoxoko bya xifaniso lexi nga eka hungu leri byi ta kombiwa eka tluka leri kombaka xifaniso lexi loko tafula ra nxaxamela wa vuxokoxoko ri pfariwa.
825 Lebyi n'wana vuxokoxoko bya finiso byitumbetiwile.
826 * make
827 * model
828 * datetimeoriginal
829 * exposuretime
830 * fnumber
831 * isospeedratings
832 * focallength
833 * artist
834 * copyright
835 * imagedescription
836 * gpslatitude
837 * gpslongitude
838 * gpsaltitude",
839
840 # External editor support
841 'edit-externally' => 'Lulamisa fayili leyi utirhisa xilulamisi xale handle ka wiki leyi',
842 'edit-externally-help' => '(Vona [//www.mediawiki.org/wiki/Manual:External_editors swiletelo swo sungurisa] leswaku ukuma vuxokoxoko lebyi engetelekeke)',
843
844 # 'all' in various places, this might be different for inflected languages
845 'watchlistall2' => 'Hinkwawo',
846 'namespacesall' => 'Hinkwawo',
847 'monthsall' => 'hikwato',
848
849 # Watchlist editing tools
850 'watchlisttools-view' => 'Vona kucinca loku yelanaka',
851 'watchlisttools-edit' => 'Langutisa naswona u lulamisa nxaxamelo wa leswilangutiweke',
852 'watchlisttools-raw' => 'Lulamisa nxaxamelo-mbisi wa leswilangutiweke',
853
854 # Core parser functions
855 'duplicate-defaultsort' => '\'\'\'Tivonele\'\'\' mpfungulo wo hluta wa "$2" wu rhangela lowa "$1"',
856
857 # Special:SpecialPages
858 'specialpages' => 'Matluka yo hlawuleka',
859
860 # External image whitelist
861 'external_image_whitelist' => ' #Tshika ntila lowu wunga cinciwanga<pre>
862 #Hoxa hlamuselo lowu tolovelekeke (xiphemu lexi nga exikarhi ka //) laha hansi
863 #Swita hlanganisiwa na tiURL to swifaniso swa le handle (leswi khwekerisiweke)
864 #Leswi yelanaka swi ta kombiwa swiri swifaniso, lokoswingaritano kuta kombiwa ntsena swikhwekerisi swa xifaniso
865 #Mintila leyi sungulaka # yivona kuri ma vonele/nlhamulo
866 #Xi lava marito lama xaxametiweke hi marito-nkulu na lama tsongo
867
868 #hoxa swiphemu hinkwaswo swa regex ehenhla ka ntilalowu. Tshika ntila lowu wuri leswi wunga xiswona</pre>',
869
870 # Special:Tags
871 'tag-filter' => 'Xihluti xa [[Special:Tags|Xi angi]]:',
872
873 );