Improve cache assertions in WatchedItemStoreUnitTest
authoraddshore <addshorewiki@gmail.com>
Thu, 10 Mar 2016 13:43:36 +0000 (13:43 +0000)
committerAddshore <addshorewiki@gmail.com>
Mon, 14 Mar 2016 15:55:55 +0000 (15:55 +0000)
commit59e7587ed2719cc336996eae5182807eff14c707
treea1f8356295b58b8f8a389ebd9186c7f4b0d437cd
parent8d984cebb252a074bafd8d01e21a1c831a0e5aea
Improve cache assertions in WatchedItemStoreUnitTest

Change-Id: If4b2086cc29fcfc73dee2e9f96591a9e4aa50da9
includes/WatchedItemStore.php
tests/phpunit/includes/WatchedItemStoreUnitTest.php