Localisation updates from https://translatewiki.net.
[lhc/web/wiklou.git] / languages / messages / MessagesTs.php
1 <?php
2 /** Tsonga (Xitsonga)
3 *
4 * See MessagesQqq.php for message documentation incl. usage of parameters
5 * To improve a translation please visit http://translatewiki.net
6 *
7 * @ingroup Language
8 * @file
9 *
10 * @author Thuvack
11 */
12
13 $messages = array(
14 # User preference toggles
15 'tog-underline' => 'Hlanganisa nkhwatiheto:',
16 'tog-hideminor' => 'Tumbeta mindzulamiso leyi tsongo',
17 'tog-hidepatrolled' => 'Tumbeta ku cinca loku languteriweke eka kucinca ka sweswinyana',
18 'tog-newpageshidepatrolled' => 'Tumbeta kucinca loku languteriweke eka nxaxamelo wa matluka lamantswa',
19 'tog-extendwatchlist' => 'Ndlandlamuxa ku komba kucinca hikwako, handle ka ku cinca ka sweswinyana ntsena',
20 'tog-usenewrc' => 'Tirhisa kucinca ka sweswinyana loku hlutiweke (yitirhisa ntsalo wa Java)',
21 'tog-numberheadings' => 'Tinhloko-mhaka leti hleriweke',
22 'tog-showtoolbar' => 'Komba xiangarhi xo cinca (yitirhisa ntsalo wa Java)',
23 'tog-editondblclick' => 'Lulamisa matluka hi ku thlava kambirhi (yitirhisa ntsalo wa Java)',
24 'tog-editsectiononrightclick' => 'Pfula ku lulamisa hi swiyenge hi ku thlava nhlokomhaka ya xiyenge (yitirhisa ntsalo wa Java)',
25 'tog-rememberpassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
26 'tog-watchcreations' => 'Hoxa matluka lawa ndzi matumbuluxaka eka leswi ndziswi languteke',
27 'tog-watchdefault' => 'Hoxa matluka lawa ndzi malulamisaka eka leswi ndziswi languteke',
28 'tog-watchmoves' => "Hoxa matluka lawa ndzi mayisaka kun'wana eka leswi ndziswi languteke",
29 'tog-watchdeletion' => 'Hoxa matluka lawa ndzi masulaka eka leswi ndziswi languteke',
30 'tog-minordefault' => 'Funga mindzulamiso hinkwayo leyi ntsongo handle ka xivangelo',
31 'tog-previewontop' => 'Komba kuringanisa ungasi lulamisa bokisi',
32 'tog-previewonfirst' => 'Komba kuringanisa eka ndzulamiso wo sungula',
33 'tog-enotifwatchlistpages' => 'ndzurhumele e-mail loko leswi ndzi swi languteke swi lulamisiwa',
34 'tog-enotifusertalkpages' => 'ndzurhumele e-mail loko tluka ra mbulavulo na mina ri lulamisiwa',
35 'tog-enotifminoredits' => 'ndzurhumele e-mail loko ku endleka mindzulamiso leyi ntsongo',
36 'tog-enotifrevealaddr' => 'Paluxa e-mail yamina eka mapapila lawa ndzimarhumelaka',
37 'tog-shownumberswatching' => 'Komba ntsengo wa vatirhisi lava hlaleleke tluka',
38 'tog-forceeditsummary' => 'Ndzivutisisi loko ndzinga hoxi nkomiso wa ndzulamiso lowu ndzi wu endleke',
39 'tog-watchlisthideown' => 'Tumbeta mindzulamiso ya mina eka leswi ndzi swi languteke',
40 'tog-watchlisthidebots' => 'Tumbeta mindzulamiso ya rhobhoti eka leswi ndzi swi languteke',
41 'tog-watchlisthideminor' => 'Tumbeta mindzulamiso leyi tsongo eka leswi ndzi swi languteke',
42 'tog-watchlisthideliu' => 'Tumbeta mindzulamiso ya vatirhisiwa lava ngheneke eka leswi ndzi swi languteke',
43 'tog-watchlisthideanons' => 'Tumbeta mindzulamiso ya vatirhisiwa lavanga tivekiki eka leswi ndzi swi languteke',
44 'tog-watchlisthidepatrolled' => 'Tumbeta mindzulamiso leyi languteriweke eka leswilangutiweke',
45 'tog-ccmeonemails' => "Ndzirhumele khopi ya ti e-mail leti ndzi ti rhumelaka van'wana",
46 'tog-showhiddencats' => 'Komba mintlawa leyi tumbetiweke',
47
48 'underline-always' => 'Nkarhi hinkwawo',
49 'underline-never' => "Swinga endleki ni kan'we",
50
51 # Dates
52 'sunday' => 'Sonto',
53 'monday' => 'Musombhunuko',
54 'tuesday' => 'Ravumbirhi',
55 'wednesday' => 'Ravunharhu',
56 'thursday' => 'Ravumune',
57 'friday' => 'Ravunthlanu',
58 'saturday' => 'Muqhivela',
59 'sun' => 'Sont',
60 'mon' => 'Musombhunuko',
61 'tue' => 'Ravumbirhi',
62 'wed' => 'Ravunharhu',
63 'thu' => 'Ravumune',
64 'fri' => 'Ravunthlanu',
65 'sat' => 'Muqhivela',
66 'january' => 'Sunguti',
67 'february' => 'Nyenyenyani',
68 'march' => 'Nyenyankulu',
69 'april' => 'Dzivamusoko',
70 'may_long' => 'Mudyaxihi',
71 'june' => 'Khotavuxika',
72 'july' => 'Mawuwani',
73 'august' => 'Mhawuri',
74 'september' => 'Ndzhati',
75 'october' => 'Nhlangula',
76 'november' => 'Hukuri',
77 'december' => "N'wendzamhala",
78 'january-gen' => 'Sunguti',
79 'february-gen' => 'Nyenyenyani',
80 'march-gen' => 'Nyenyankulu',
81 'april-gen' => 'Dzivamusoko',
82 'may-gen' => 'Mudyaxihi',
83 'june-gen' => 'Khotavuxika',
84 'july-gen' => 'Mawuwani',
85 'august-gen' => 'Mhawuri',
86 'september-gen' => 'Ndzhati',
87 'october-gen' => 'Nhlangula',
88 'november-gen' => 'Hukuri',
89 'december-gen' => "N'wendzamhala",
90 'jan' => 'Nsungu',
91 'feb' => 'Nyenye',
92 'mar' => 'Nyenya',
93 'apr' => 'Dziva',
94 'may' => 'Mudya',
95 'jun' => 'Khota',
96 'jul' => 'Mawu',
97 'aug' => 'Mhawu',
98 'sep' => 'Ndzha',
99 'oct' => 'Nhla',
100 'nov' => 'Huk',
101 'dec' => "N'wen",
102
103 # Categories related messages
104 'pagecategories' => '{{PLURAL:$1|Ntlawa|intlawa}}',
105 'category_header' => 'Matluka eka ntlawa wa "$1"',
106 'subcategories' => 'Mintlawa-ntsongo',
107 'category-media-header' => 'Matluka ya xifaniso kumbe mpfumawulo eka ntlawa wa "$1"',
108 'category-empty' => "''Ntlawa lowu eka nkarhi wa sweswi, wuhava matluka kumbe swifaniso.''",
109 'hidden-categories' => '{{PLURAL:$1|Ntlawa lowu tumbetiweke|Mintlawa leyi tumbetiweke}}',
110 'hidden-category-category' => 'Mintlawa leyi tumbetiweke',
111 'category-subcat-count' => '{{PLURAL:$2|Ntlawa lowu wukhome mintlawa-ntsongo leyi landzelaka.|Ntlawa lowu wuni {{PLURAL:$1|ntlwa-ntsongo|$1 wa mintlaw-ntsongo}}, eka $2 wa mintlawa-ntsongo.}}',
112 'category-subcat-count-limited' => 'Ntlawa lowu wuna {{PLURAL:$1|ntlawa-ntsongo lowu|$1 mintlawa-ntsongo leyi}} landzelaka.',
113 'category-article-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
114 'category-article-count-limited' => '{{PLURAL:$1|Tluka leri rile|$1 Matluka lawa male}} ndzeni ka ntlawa lowu.',
115 'category-file-count' => '{{PLURAL:$2|Ntlawa lowu wukhome matluka lamalandzelaka ntsena.| {{PLURAL:$1|Tluka leri ri le|$1 matluka lawa male}} ndzeni ka ntlawa lowu, eka $2 wamintlawa.}}',
116 'category-file-count-limited' => '{{PLURAL:$1|Fayili leyi yile|$1 Tifayili leti tile}} ndzeni ka ntlawa lowu.',
117 'listingcontinuesabbrev' => 'Mahlwe.',
118 'noindex-category' => 'Matluka lama kayivelaka xikombandlela xa tinhlokomhaka',
119 'broken-file-category' => 'Matluka lamangana minkhwekelo ya tifayili leyi tshovekeke',
120
121 'about' => 'Timhaka hi',
122 'article' => 'Matluka lama tsariweke',
123 'newwindow' => '(Yi pfula e ndhzawini yintswa)',
124 'cancel' => 'Thsika',
125 'moredotdotdot' => "Swin'wana...",
126 'mypage' => 'Tluka ramina',
127 'mytalk' => 'Mbulavulo namina',
128 'anontalk' => 'Vulavula na IP leyi',
129 'navigation' => 'Xikomba ndlela',
130 'and' => '&#32;nakambe',
131
132 # Cologne Blue skin
133 'qbfind' => 'Kuma',
134 'qbedit' => 'Lulamisa',
135 'qbpageoptions' => 'Tluka leri',
136 'qbmyoptions' => 'Matluka ya mina',
137 'faq' => 'FAQ',
138 'faqpage' => 'Project:FAQ',
139
140 # Vector skin
141 'vector-action-addsection' => 'Veka nholoko ya mhaka',
142 'vector-action-delete' => 'Sula',
143 'vector-action-move' => 'Yi sa kunwana',
144 'vector-action-protect' => 'Sirhelela',
145 'vector-action-undelete' => 'Cinca kusula',
146 'vector-action-unprotect' => 'Cinca kusirhelela',
147 'vector-view-create' => 'Tumbuluxa',
148 'vector-view-edit' => 'Lulamisa',
149 'vector-view-history' => 'Languta matimu',
150 'vector-view-view' => 'Hlaya',
151 'vector-view-viewsource' => 'Languta xihlovo',
152 'actions' => 'Swiendlo',
153 'namespaces' => 'Swikhomela viti',
154 'variants' => 'Tinxaka hi ku hambana',
155
156 'errorpagetitle' => 'Xihoxo',
157 'returnto' => 'Thlelela e $1.',
158 'tagline' => 'Kusuka e {{SITENAME}}',
159 'help' => 'Mpfuno',
160 'search' => 'Lava',
161 'searchbutton' => 'Lava',
162 'go' => 'Nghena',
163 'searcharticle' => 'Nghena',
164 'history' => 'Matimu yaTluka',
165 'history_short' => 'Matimu',
166 'updatedmarker' => 'leswi lunghisiweke kusukela loko nihetelela nxaxamelo lowu',
167 'printableversion' => 'Vona Ngangliso',
168 'permalink' => 'Xithlavinyeti xa nkarhi hinkwawo',
169 'print' => 'Gandlisa',
170 'view' => 'Langutisa',
171 'edit' => 'Lulamisa',
172 'create' => 'Tumbuluxa',
173 'editthispage' => 'Lulamisa Tluka leri',
174 'create-this-page' => 'Tumbuluxa tluka leri',
175 'delete' => 'Sula',
176 'deletethispage' => 'Sula tluka leri',
177 'undelete_short' => 'Cinca kusula {{PLURAL:$1|ndzulamiso|$1 mindzulamiso}}',
178 'viewdeleted_short' => 'Vona {{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
179 'protect' => 'Sirhelela',
180 'protect_change' => 'Cinca',
181 'protectthispage' => 'Sirhelela tluka leri',
182 'unprotect' => 'Cinca kusirhelela',
183 'unprotectthispage' => 'Cinca kusirhelela tluka leri',
184 'newpage' => 'Tluka rintswa',
185 'talkpage' => 'Burisana hi tluka leri',
186 'talkpagelinktext' => 'Mbulavulo',
187 'specialpage' => 'Tluka ro hlawuleka',
188 'personaltools' => "Switirhisi swa n'wini",
189 'postcomment' => 'Xiyenge xintswa',
190 'articlepage' => 'Langutisa tluka ra matsalwa',
191 'talk' => 'Mbulavuriswano',
192 'views' => 'Kulanguteka',
193 'toolbox' => 'Bokisi ra switirhisiwa',
194 'userpage' => 'Vona tluka ramutirhisi',
195 'projectpage' => 'Vona tluka ra phurojeki',
196 'imagepage' => 'Vona tluka ra fayili',
197 'mediawikipage' => 'Vona tluka ra hungu',
198 'templatepage' => 'Vona tluka ra xivumbiwa-ntirho',
199 'viewhelppage' => 'Vona tluka ra mpfuno',
200 'categorypage' => 'Vona tluka ra ntlawa',
201 'viewtalkpage' => 'Vona bulo',
202 'otherlanguages' => "Hi ti ndzimi tin'wana",
203 'redirectedfrom' => '(Ritlerisewe kusuka e $1)',
204 'redirectpagesub' => 'Tluka ro kongomisa',
205 'lastmodifiedat' => 'Tluka leri rihetelele ku lulamisiwa hi $1, nkarhi kuri $2.',
206 'viewcount' => "Tluka leri ri vhakeriwe {{PLURAL:$1|kan'we|makhambi ya $1}}.",
207 'protectedpage' => 'Tluka leri sirheleriweke',
208 'jumpto' => 'Tlulela eka:',
209 'jumptonavigation' => 'Xikomba-ndlela',
210 'jumptosearch' => 'Lava',
211 'pool-errorunknown' => 'xiphiqo xoka xingativeki',
212
213 # All link text and link target definitions of links into project namespace that get used by other message strings, with the exception of user group pages (see grouppage).
214 'aboutsite' => 'ta {{SITENAME}}',
215 'aboutpage' => 'Project:ta',
216 'copyright' => 'Matsalwa makumeka ehansi ka $1.',
217 'copyrightpage' => '{{ns:project}}:Swisivela ku encenyeta',
218 'currentevents' => 'Leswi endlekaka sweswi',
219 'currentevents-url' => 'Project:Leswi endlekaka sweswi',
220 'disclaimers' => 'Swi alanandzu',
221 'disclaimerpage' => 'Project:Swithsuxa nadzu hikuangara',
222 'edithelp' => 'Mpfuno hi ta mindzulamiso',
223 'mainpage' => 'Tlukankulu',
224 'mainpage-description' => 'Tluka-Nkulu',
225 'policy-url' => 'Project:Policy',
226 'portal' => 'Ntsindza wa muganga',
227 'portal-url' => 'Project:Community Portal',
228 'privacy' => 'Privacy policy',
229 'privacypage' => 'Project:Privacy policy',
230
231 'badaccess' => 'Xihoxo hita mpfumelelo',
232 'badaccess-group0' => 'U hava mpumelelo wo endla xikombelo lexi.',
233 'badaccess-groups' => 'The action you have requested is limited to users in one of the groups $1.',
234
235 'versionrequired' => 'Version $1 of MediaWiki required',
236 'versionrequiredtext' => 'Version $1 of MediaWiki is required to use this page.
237 See [[Special:Version|version page]].',
238
239 'ok' => 'Hiswona',
240 'retrievedfrom' => 'ku suka e "$1"',
241 'youhavenewmessages' => 'U na $1 ($2).',
242 'youhavenewmessagesmulti' => 'Una marungula mantswa hi $1',
243 'editsection' => 'Lulamisa',
244 'editold' => 'Lulamisa',
245 'viewsourceold' => 'Languta vutsari-ntumbuluko',
246 'editlink' => 'Lulamisa',
247 'viewsourcelink' => 'Languta xihlovo',
248 'editsectionhint' => 'Lulamisa xiphemu: $1',
249 'toc' => 'Leswinga ndzeni',
250 'showtoc' => 'Kombisa',
251 'hidetoc' => 'Tumbeta',
252 'collapsible-collapse' => 'Tsongahata',
253 'collapsible-expand' => 'Ndlandlamuxa',
254 'thisisdeleted' => 'Langutisa kumbe Thlerisela $1?',
255 'viewdeleted' => 'Langutisa $1?',
256 'restorelink' => '{{PLURAL:$1|ndzulamiso lowu suriweke|$1 mindzulamiso leyi suriweke}}',
257 'feedlinks' => 'Feed:',
258 'feed-invalid' => 'Invalid subscription feed type.',
259 'feed-unavailable' => 'Syndication feeds are not available on {{SITENAME}}',
260 'site-rss-feed' => '$1 RSS Feed',
261 'site-atom-feed' => '$1 Atom Feed',
262 'page-rss-feed' => '"$1" RSS Feed',
263 'page-atom-feed' => '"$1" Atom Feed',
264 'red-link-title' => '$1 (Tluka leri, arisi tsariwa)',
265 'sort-descending' => 'Xaxameta kuya ehansi',
266 'sort-ascending' => 'Xaxameta kuya ehenhla',
267
268 # Short words for each namespace, by default used in the namespace tab in monobook
269 'nstab-main' => 'Tluka',
270 'nstab-user' => 'Tluka ra mutirhisi',
271 'nstab-media' => 'Media page',
272 'nstab-special' => 'Tluka ro hlawuleka',
273 'nstab-project' => 'Tluka ra Phurojeki',
274 'nstab-image' => 'Fayili',
275 'nstab-mediawiki' => 'Rungula',
276 'nstab-template' => 'Template',
277 'nstab-help' => 'Tluka ra mpfuno',
278 'nstab-category' => 'Xiyenge',
279
280 # Main script and global functions
281 'nosuchaction' => 'Kuhava xiendlo xo tano',
282 'nosuchactiontext' => 'Xikombelo xa URL a xitwisisiwi hi wiki.
283 Ungava uhoxise kupeleta, kumbe u landze nkhwekelo lowu hoxeke.
284 Xiphiqo lixe xingava xikomba xigalana eka software ya {{SITENAME}}.',
285 'nosuchspecialpage' => 'Ku hava Tluka rero rohlawuleka',
286 'nospecialpagetext' => '<strong>U kombele tluka ro hlawuleka ro ka ri nga ri kona.</strong>
287
288 Vona nxaxamelo wa ma tluka yo hlawuleka e [[Special:SpecialPages|{{int:specialpages}}]].',
289
290 # General errors
291 'error' => 'Xihoxo',
292 'databaseerror' => 'Xihoxo xo Database',
293 'laggedslavemode' => 'Warning: Page may not contain recent updates.',
294 'readonly' => 'Database locked',
295 'enterlockreason' => 'Enter a reason for the lock, including an estimate of when the lock will be released',
296 'readonlytext' => 'The database is currently locked to new entries and other modifications, probably for routine database maintenance, after which it will be back to normal.
297
298 The administrator who locked it offered this explanation: $1',
299 'missing-article' => 'Tsalwa leri uri lavaka eka tluka leri vuriwaka "$1" $2, ari kumekanga eka nghula.
300
301 Leswi swinga vangiwa hi kuva u landzele xithlavinyeti lexi hundzeriweke hi nkari kumbe tluka leri suriweke.
302
303 Loko leswi swingari xona xivangelo, ungava ukume xigalana eka xitirhisi lexi.
304 Ukomberiwa ku pota xigalana lexi eka [[Special:ListUsers/sysop|mulanguteri]], u rhumela na xithlavinyeti xa URL.',
305 'missingarticle-rev' => '(revision#: $1)',
306 'missingarticle-diff' => '(Diff: $1, $2)',
307 'readonly_lag' => 'The database has been automatically locked while the slave database servers catch up to the master',
308 'internalerror' => 'Xihoxo xa le ndzeni',
309 'internalerror_info' => 'Internal error: $1',
310 'fileappenderrorread' => 'Hitsandzeke ku hlaya "$1" eku lulamiseni loku.',
311 'fileappenderror' => 'Hintsndzeke ku lulamisa "$1" leswaku yiva "$2".',
312 'filecopyerror' => 'Could not copy file "$1" to "$2".',
313 'filerenameerror' => 'Could not rename file "$1" to "$2".',
314 'filedeleteerror' => 'Could not delete file "$1".',
315 'directorycreateerror' => 'Could not create directory "$1".',
316 'filenotfound' => 'Could not find file "$1".',
317 'fileexistserror' => 'Unable to write to file "$1": file exists',
318 'unexpected' => 'Unexpected value: "$1"="$2".',
319 'formerror' => 'Error: could not submit form',
320 'badarticleerror' => 'This action cannot be performed on this page.',
321 'cannotdelete' => 'Could not delete the page or file specified.
322 It may have already been deleted by someone else.',
323 'cannotdelete-title' => 'Tluka "$1", ari suleki',
324 'badtitle' => 'Bad title',
325 'badtitletext' => 'The requested page title was invalid, empty, or an incorrectly linked inter-language or inter-wiki title.
326 It may contain one or more characters which cannot be used in titles.',
327 'querypage-no-updates' => 'Updates for this page are currently disabled.
328 Data here will not presently be refreshed.',
329 'viewsource' => 'Vona tsalwa-tumbuluxa',
330 'viewsource-title' => 'vona xihlovo xa $1',
331 'protectedpagetext' => 'Tluka leri riserheleriwile kusivele ndzulamiso.',
332 'viewsourcetext' => 'Unga langutisa naswona u kopa xihlovo xa tluka leri.',
333 'viewyourtext' => 'Unga langutisa naswona ukopa xihlovo xa "mindzulamiso yawena" eka tluka leri.',
334 'protectedinterface' => 'Tluka leri rina xihlanganisi xa software, naswona risirheleriwile kusivela kuthyakisiwa.',
335 'editinginterface' => "'''Tivonele:''' ulekululamiseni tlika leri tirhisiwaka ku komba matsalwa yo hlanganisa ya software.
336 Kucinca eka tluka leri kunga onha kulanguteka ka matluka eka vatirhisi van'wana.
337 Leswaku uvona kuhundzuluxa langutisa [//translatewiki.net/wiki/Main_Page?setlang=en translatewiki.net], Phurojeki yo hundzuluxa tindzimi ya MediaWiki.",
338 'cascadeprotected' => 'Tluka leri risirheleriwile eka mindzulamiso, hikwalaho kaleswi rikatsiweke eka {{PLURAL:$1|tluka, leri|matluka, lama}} sirheleriweke nswona mangana nhlawulo wo "katsakanya" lowu hlawuriweke:
339 $2',
340 'namespaceprotected' => "Uhava mfumelelo wo lulamisa matluka eka maviti-vundzawu bya '''$1'''.",
341 'customcssprotected' => "Uhava mpfumelelo wo ulamisa tluka leri ra CSS, hikuva rina minhlawulo ya mutirhisi un'wana.",
342 'customjsprotected' => "Uhava mpfumelelo wolulamisa tluka-xirhumiwa xa Java, hiuva ringava rikhome minhlawulo yamutirhisi un'wana.",
343 'ns-specialprotected' => 'Matluka yohlawuleka amalulamisiwi.',
344 'titleprotected' => 'Nhlokomhaka leyi yisirheleriwile ekutumbuluxiwa hi [[User:$1|$1]].
345 Hikwlaho ka xivangelo xa "\'\'$2\'\'".',
346
347 # Login and logout pages
348 'logouttext' => "'''Uhumile eka wiki leyi.'''
349
350 Ungaya emahlweni utirhisa {{SITENAME}} handle ko tipaluxa, kumbe unga <span class='plainlinks'>[$1 pfula unghena nakambe]</span> tani hi mutirhisa un'wana kumbe kumbe hivuxokoxoko bya wena.
351 Tsundzuka leswaku matluka man'wana mangaha komba onge upfule unghena eka wiki, loko ungasi sula tluka rakhompuyuta leri tsundzukaka matluka lawa uma vhakeleke.",
352 'yourname' => 'Vito ra vutirhisi',
353 'yourpassword' => 'Vito-mpfungulo:',
354 'yourpasswordagain' => 'Thlela u hoxa ritompfungulo ra wena:',
355 'remembermypassword' => 'Tsundzuka ku nghena eka Khompuyuta leyi (kufikela eka $1 {{PLURAL:$1|siku|masiku}})',
356 'login' => 'Pfula u nghena',
357 'nav-login-createaccount' => 'Pfula unghena / Tumbuluxa akhawunti',
358 'loginprompt' => 'U fanele ku pfumelela swipfuneti leswaku u pfula unghena eka {{SITENAME}}.',
359 'userlogin' => 'Pfula unghena / Tumbuluxa akhawunti',
360 'userloginnocreate' => 'Pfula unghena',
361 'logout' => 'Pfala u famba',
362 'userlogout' => 'Pfala u famba',
363 'notloggedin' => 'A wusipfula unghena',
364 'nologin' => 'Xana upfumala akhawunti? $1.',
365 'nologinlink' => 'Tumbuluxa akhawunti',
366 'createaccount' => 'Tumbuluxa akhawunti',
367 'gotaccount' => 'Xna una akhawunti hi khale? $1.',
368 'gotaccountlink' => 'Pfula unghena',
369 'userlogin-resetlink' => 'Xana u rivele vuxokoxoko bya wena byo pfula unghena?',
370 'createaccountreason' => 'Xivangelo:',
371 'loginsuccess' => "'''Ule ndzeni ka {{SITENAME}} tani hi \"\$1\".'''",
372 'mailmypassword' => 'Rhumela vito-mpfungulo lerintwsa',
373 'loginlanguagelabel' => 'Ririmi: $1',
374
375 # Edit page toolbar
376 'bold_sample' => 'Marito yo bumbula',
377 'bold_tip' => 'Marito yo bumbula',
378 'italic_sample' => 'Tsalawa ra xitaliki',
379 'italic_tip' => 'Tsalawa ra xitaliki',
380 'link_sample' => 'Khwekerisa nhlokomhaka',
381 'link_tip' => 'Xikhwekerisi xala ndzeni ka wiki leyi',
382 'extlink_sample' => 'http://www.example.com khwekerisa nhlokomhaka',
383 'extlink_tip' => 'Xikhwekerisi xa tluka ralehandle ka wiki leyi (tsundzuka xi rhangi xa http:// )',
384 'headline_sample' => 'tsala ra nhlokomhaka',
385 'headline_tip' => 'Nhloko mhaka ya xiyenge xa 2',
386 'nowiki_sample' => 'Hoxa xivulwa lexi nga sasekisiwangiki mavonele laha',
387 'nowiki_tip' => 'bakanya kuxongisa marito ka wiki',
388 'image_tip' => 'Fayili leyi angarhiweke',
389 'media_tip' => 'Xikhwekerisi xa fayili',
390 'sig_tip' => 'Nsayino wawena wurina mfungo wa nkarhi',
391 'hr_tip' => 'Ntila wo khwatiheta (wu tirhise hivukheta)',
392
393 # Edit pages
394 'summary' => 'Nkomiso:',
395 'minoredit' => 'Lowu i ndzulamiso wu tsongo',
396 'watchthis' => 'Langutisa tluka leri',
397 'savearticle' => 'Hlayisa tluka',
398 'preview' => 'Ringanisa',
399 'showpreview' => 'Komba kuringanisa',
400 'showdiff' => 'Komba ku cinca',
401 'anoneditwarning' => "'''Watsundzuxiwa:''' awu pfulanga unghena eka wiki leyi.
402 Adirese ya khompuyuta ya wena ya IP yita tsariwa eka matimu ya ku lulamisiwa ka tluka leri.",
403 'newarticle' => '(yintswa)',
404 'newarticletext' => "Ulandzele xikhwekerisi lexi kombaka tluka leringasi tsariwaka.
405 Leswaku u tumbuluxa tluka leri, tsala eka bokisi leringa e hansi (Nkambe unga ye eka [$1 tluka ra mpfuno] kukuma vuxokoxoko lebyi engetelekeke).
406 Loko ufike eka tluka leri hixihoxo, thlava bhatheni leyinge '''thlelela'''.",
407 'noarticletext' => 'Kuhava matsalwa eka tluka leri.
408 Unga [[Special:Search/{{PAGENAME}}|lavalava nhlokomhaka ya tluka leri]] eka matluka man\'wana,
409 <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAMEE}}}} lavalava eka nghula leyiyelanaka],
410 kumbe [{{fullurl:{{FULLPAGENAME}}|action=edit}} u hlakarhelisa tluka leri]</span>.',
411 'noarticletext-nopermission' => 'Kuhava matsalwa eka tluka leri.
412 Unga [[Special:Search/{{PAGENAME}}|lavalava nhlokomhaka ya tluka leri]] endzeni ka matluka man\'wana,
413 kumbe u <span class="plainlinks">[{{fullurl:{{#Special:Log}}|page={{FULLPAGENAMEE}}}} lavalava eka nghula leyiyelanaka]</span>.',
414 'previewnote' => "'''Lowu i ndzinganiso ntsena;
415 kucinca a ku sihlayisiwa!'''",
416 'editing' => 'Ulekululamiseni ka $1',
417 'editingsection' => 'Ndzulamiso wa $1 (Xiyenge)',
418 'copyrightwarning' => "Xiya leswaku minyikelo hinkwayo e ka {{SITENAME}} yi tekiwa yitshuxiwe e hansi ka $2 (Vona $1 ku kuma vuxokoxoko).
419 loko unga tsakeli leswaku vutsari bya wena byi lulamisiwa no aviwa handle ko tweriwa vusiwana, unga tsari laha.<br />
420 U hi tshembisa nakambe leswaku hi wena mutsari wa leswi nyikeriwaka laha, kumbe leswi u swinyikelaka u swi tekile e xihloveni xa lerivaleni kumbe laha kunga na mpfumelelo wa mani na mani.
421 '''UNGA RHUMERI MATSALWA LA MA SIRHELERIWEKE HANDLE KA MPFUMELELO!'''",
422 'templatesused' => '{{PLURAL:$1|Xivumbiwa ntirho lexi|Swivumbiwa ntirho leswi}} tirhisiweke eka tluka leri:',
423 'template-protected' => '(Ri sirheleriwile)',
424 'template-semiprotected' => '(lisirheleriwile switsanana)',
425 'hiddencategories' => 'Tluka leri i nandza wa {{PLURAL:$1|ntlwa lowu tumbetiweke|$1 mintlawa leyi tumbetiweke}}:',
426 'permissionserrorstext-withaction' => 'Awupfumeleriwanga ku $2, hikwalaho ka {{PLURAL:$1|wa xivangelo|wa swivangelo}}:',
427 'recreate-moveddeleted-warn' => "'''Tivonele: utumbuluxa tluka leri raha ku suriwa kungarikhale.'''
428
429 Nhlahluvisisa loko swifanerile ku ya emahlweni u lulamisa tluka leri.
430 Matimu yo sula no susa ma kombila laha ehansi ku ku pfuna:",
431 'moveddeleted-notice' => 'Tluka leri ri suriwile.
432 nhula ya minxaxamelo leyi kombaka ku suriwa na ku susiwa ka tluka leri ya kombiwa laha ehansi.',
433
434 # Parser/template warnings
435 'post-expand-template-inclusion-warning' => "'''Tivonele:''' xivumbiwa-ntirho xa ntsengo xitele ngopfu.
436 swivumbiwa-ntirho swin'wana aswinge xaxametiwi.",
437 'post-expand-template-inclusion-category' => 'Matluka lawa xivumbiwa-ntirho xa wona xi lavaka ntsengo ma hundze mpimo',
438 'post-expand-template-argument-warning' => "'''Tivonele:''' Tluka leri ritamele xin'we xaswi hlamuseri kumbe kutlula xa xivumbiwa ntirho lexi tlulaka mpiwo wo ndlndlamuxa xivumbiwa-ntirho lexi.
439 Swi hlamuseri leswi swi susiwile eka tluka leri.",
440 'post-expand-template-argument-category' => 'Matluka lama kayivelaka swihlamuseri leswitirhisiwaka hi swivumbiwa-ntirho',
441
442 # History pages
443 'viewpagelogs' => 'Vona nghula ya minxaxamelo ya tluka leri',
444 'currentrev-asof' => 'Mindzulamiso ya sweswinyana ya $1',
445 'revisionasof' => 'Ndzulamiso kusukela hi $1',
446 'revision-info' => 'Mindzulamiso ku sukela hi $1 leyi endliweke hi $2',
447 'previousrevision' => '← Ndzulamiso wakhale',
448 'nextrevision' => 'Ndzulamiso wa sweswinyana →',
449 'currentrevisionlink' => 'Ndzulamiso wasweswinyana',
450 'cur' => 'sweswi',
451 'next' => 'Leswilandzelaka',
452 'last' => 'Swo hetelela',
453 'page_first' => 'Xo sungula',
454 'histlegend' => "Leswaku uhambanisa mindzulamiso: thlava eka swifungu swa xirhendzevutana swa mindzulamiso leyi hambanaka ivi u thlava bhatheni leyi nge \"Enter\" eka Khibhodi ya wena kumbe bhatheni leyi kombiweke ehansi.<br />
455 Swihlamuseri: '''({{int:sweswi}})''' = kuhambana na ndzulamiso wa sweswinyana, '''({{int:khale}})''' = kuhambana na ndzulamiso lowu tlhandlamaka lowu, '''{{int:minoreditletter}}''' = ndzulamiso lowu tsanana.",
456 'history-fieldset-title' => 'Langutisa matimu',
457 'history-show-deleted' => 'Leswi suriweke ntsena',
458 'histfirst' => 'Swa khalenyana',
459 'histlast' => 'Swa sweswinyana',
460
461 # Revision feed
462 'history-feed-item-nocomment' => '$1 hi $2',
463
464 # Revision deletion
465 'rev-delundel' => 'Komba/Tumbeta',
466 'revdel-restore' => 'Cinca kuvoniwa',
467 'pagehist' => 'Matimu ya tluka',
468 'deletedhist' => 'Matimu lamasuriweke',
469
470 # Merge log
471 'revertmerge' => 'Hambanisa',
472
473 # Diffs
474 'history-title' => 'Matimu ya mindulamiso ya "$1"',
475 'lineno' => 'Ntila $1:',
476 'compareselectedversions' => 'Hambaniisa exikarhi ka mindzulamiso leyi langiweke',
477 'editundo' => 'Thlerisela',
478
479 # Search results
480 'searchresults' => 'Lavisisa eka mimbuyelo',
481 'searchresults-title' => 'Lavisisa "$1" eka mimbuyelo',
482 'prevn' => 'Leswihundzeke {{PLURAL:$1|$1}}',
483 'nextn' => 'Leswilandzelaka {{PLURAL:$1|$1}}',
484 'prevn-title' => '$1 {{PLURAL:$1|nkutlunya lo wu|minkutlunya leyi}} hundzeke',
485 'nextn-title' => '$1 {{PLURAL:$1|nkutlunya lowu|minkutlunya leyi}} landzelaka',
486 'shown-title' => "Komba $1 {{PLURAL:$1|mbuyelo|mimbuyelo}} eka tluka rin'wana na ri n'wana",
487 'viewprevnext' => 'Vona ($1 {{int:pipe-separator}} $2) ($3)',
488 'searchmenu-exists' => "'''Tluka leri vuriwaka \"[[:\$1]]\" ikhale ririkona eka wiki leyi.'''",
489 'searchmenu-new' => "'''Tumbuluxa tluka ra \"[[:\$1]]\" eka wiki leyi!'''",
490 'searchprofile-articles' => 'Matluka lama tsariweke',
491 'searchprofile-project' => 'Mpfuno na matluka ya phurojeki',
492 'searchprofile-images' => 'Tifayili ta mfpumawulo na swifaniso',
493 'searchprofile-everything' => 'Hinkwaswo',
494 'searchprofile-advanced' => 'Rharhangana',
495 'searchprofile-articles-tooltip' => 'Lavisisa eka $1',
496 'searchprofile-project-tooltip' => 'Lavisisa eka $1',
497 'searchprofile-images-tooltip' => 'Lava tifayili',
498 'searchprofile-everything-tooltip' => 'Lavalava eka matsalwa hinkwawo (kuhlanganisa na matluka ya mbulavulo)',
499 'searchprofile-advanced-tooltip' => 'Lavalava eka swisivela mavito leswi tolovelekeke',
500 'search-result-size' => '$1 ({{PLURAL:$2|1 viti|$2 maviti}})',
501 'search-result-category-size' => '{{PLURAL:$1|nandza|$1 wa malandza}} ({{PLURAL:$2|ntlawa-ntsongo|$2 wa mintlawa-ntsongo}}, {{PLURAL:$3|fayili|$3 wa tifayili}})',
502 'search-redirect' => '(nkongomiso kusaka e $1)',
503 'search-section' => '(Xiyenge $1)',
504 'search-suggest' => 'Xana uvula: $1',
505 'search-interwiki-more' => '(Leswi engetelekeke)',
506 'search-relatedarticle' => 'Leswi yelanaka',
507 'searchrelated' => 'Yelanaka',
508 'searchall' => 'Hinkwaswo',
509 'showingresults' => "Kombisa e hansi kufika eka {{PLURAL:$1|'''1''' mbuyelo|'''$1''' mimbuyelo}} Kusungula hi#'''$2'''.",
510 'showingresultsheader' => "{{PLURAL:$5|nkutlunya '''$1''' wa '''$3''' lowu|minkutlunya '''$1 - $2''' ya '''$3''' leyi}} yelanaka na '''$4'''",
511 'search-nonefound' => 'Kuhava mimbuyelo leyi yelanaka xikombelo lexi.',
512
513 # Preferences page
514 'mypreferences' => 'Minhlawulo ya mina',
515 'prefs-edits' => 'Ntsengo wa mindzulamiso:',
516 'skin-preview' => 'Ndzinganiso',
517 'prefs-datetime' => 'Siku na nkarhi',
518 'prefs-watchlist' => 'Nxaxamelo wa Leswivoniwaka',
519 'saveprefs' => 'Hlayisa',
520 'prefs-editing' => 'Kululamisa',
521 'youremail' => 'E-mail:',
522 'yourrealname' => 'Vito ra ntiyiso:',
523 'yourlanguage' => 'Ririmi:',
524 'prefs-help-email' => 'Adiresi ya e-mail ayibohi, kambe yita laveka leswaku u cinca ritompfungulo ra wena, loko swiendleka leswaku u ri rivala.',
525 'prefs-help-email-others' => "Unga langa leswaku van'wana va bula na wena hi e-mail hikutirhisa xikhwekeri eka tluka ra wena ravutirhis kumbe eka tluka ra mbulavulo.
526 Adiresi ya wena ya e-mail yitunberile loko van'wana va bula na wena.",
527
528 # Rights
529 'right-read' => 'Matluka yo Hlaya',
530 'right-edit' => 'Lulamisa ma tluka',
531 'right-createpage' => 'Tumbuluxa matluka (mangariki eka matluka ya mbulavulo)',
532 'right-createtalk' => 'Tumbuluxa matluka ya mbulavulo',
533 'right-move' => "Yisa matluka lawa kun'wana",
534 'right-move-subpages' => "yisa matluka lawa na matluka-ntsongo ya wona, kun'wana",
535 'right-delete' => 'Sula matluka lawa',
536
537 # Special:Log/newusers
538 'newuserlogpage' => 'Nghula ya nxaxamelo wa ku tumbuluxiwa ka vatirhisi',
539
540 # Associated actions - in the sentence "You do not have permission to X"
541 'action-read' => 'hlaya tluka leri',
542 'action-edit' => 'Lulamisa tluka leri',
543 'action-createpage' => 'tumuluxa matluka',
544 'action-createtalk' => 'tumbuluxa matluka ya mbulavulo',
545 'action-createaccount' => 'tumbuluxa akhawunti ya mutirhisi loyi',
546 'action-minoredit' => 'funga ndzulamiso lowu wulri lowintsanana',
547 'action-move' => 'Yisa tluka leri ndhzawini yinwana',
548 'action-move-subpages' => "Yisa tlukaleri na matluka-nstongo ya rona, endzawini yinw'ana",
549
550 # Recent changes
551 'nchanges' => '$1 {{PLURAL:$1|wa ndzulamiso|wa mindzulamiso}}',
552 'recentchanges' => 'Ku cinca ka sweswi-nyana',
553 'recentchanges-legend' => 'Tindlela to langutisa ku cinca ka sweswinyana',
554 'recentchanges-summary' => 'Landzelela mindzulamiso ya sweswinyana ya wiki leyi eka tluka leri.',
555 'recentchanges-feed-description' => 'Landzelela mindzulamiso ya sweswinyana eka wiki leyi hi xiphameri-hungu lexi.',
556 'recentchanges-label-newpage' => 'Ndzulamiso lowu wu tumbuluxe tluka rintswa',
557 'recentchanges-label-minor' => 'Lowu i ndzulamiso wu tsongo',
558 'recentchanges-label-bot' => 'Ndzulamiso lowu wu endliwe hi rhobhoto',
559 'recentchanges-label-unpatrolled' => 'Ndzulamiso lowu awusi languteriwa',
560 'rcnotefrom' => "Laha hansi kuxaxametiwe ku cinca kusukela hi '''$2''' (kuya ka '''$1''').",
561 'rclistfrom' => 'Komba mindzilamiso leyintswa kusukela eka $1',
562 'rcshowhideminor' => '$1 wa mindzulamiso leyi ntsanana',
563 'rcshowhidebots' => '$1 wati rhobhoto',
564 'rcshowhideliu' => '$1 wa va tirhisi lavanga kona sweswi',
565 'rcshowhideanons' => '$1 wa vatirhisi lava tumbeleke',
566 'rcshowhidepatr' => '$1 mundzulamiso leyi languteriweke',
567 'rcshowhidemine' => '$1 wa mindzulamiso ya mina',
568 'rclinks' => 'Kumba $1 ya ku cinca eka $2 wa masiku lamahundzeke<br />$3',
569 'diff' => 'Hamban',
570 'hist' => 'Matimu',
571 'hide' => 'Tumbeta',
572 'show' => 'Komba',
573 'minoreditletter' => 'Tsan',
574 'newpageletter' => 'Rintswa',
575 'boteditletter' => 'Rhob',
576 'rc-enhanced-expand' => 'Komba vuxokoxoko (yi tirhisa tswala ra Java)',
577 'rc-enhanced-hide' => 'Tumbeta vuxokoxoko',
578
579 # Recent changes linked
580 'recentchangeslinked' => 'Kuncica loku yelanaka',
581 'recentchangeslinked-feed' => 'Kuncica loku yelanaka',
582 'recentchangeslinked-toolbox' => 'Kuncica loku yelanaka',
583 'recentchangeslinked-title' => 'Kucinca loku yelanaka na "$1"',
584 'recentchangeslinked-summary' => "Lowu inxaxamelo wa kucinca kasweswinyana loku endliweke eka matluka la ma khwekelaka eka tluka leri u rilavaka (kumbe yinw'ana ya mitlawa leyi yelanaka).Matluka lawa [[Special:Watchlist|umalanguteke]] ma '''bumburisiwile'''.",
585 'recentchangeslinked-page' => 'Vito ratluka:',
586 'recentchangeslinked-to' => 'Komba kucinca eka matluka lama khwekelaka eka tluka leri ntsena',
587
588 # Upload
589 'upload' => 'Khandziyisa fayili',
590 'uploadlogpage' => 'Ngula ya nxaxamelo wa swilo leswi hoxiweke',
591 'filedesc' => 'Nkomiso',
592 'uploadedimage' => 'kuhoxiwe fayili ya "[[$1]]"',
593
594 'license' => 'Nawu wo pfumelela',
595 'license-header' => 'Nawu wo pfumelela',
596
597 # Special:ListFiles
598 'imgfile' => 'fayili',
599 'listfiles' => 'Nxaxamelo wa tifayili',
600 'listfiles_date' => 'Siku',
601 'listfiles_name' => 'vito',
602
603 # File description page
604 'file-anchor-link' => 'Fayili',
605 'filehist' => 'Matimu ya fayili',
606 'filehist-help' => 'thlava eka siku/nkarhi leswaku u vona leswi fayili ayirixiswona hi knarhi walowo',
607 'filehist-deleteall' => 'sula hinkwaswo',
608 'filehist-deleteone' => 'Dlaya',
609 'filehist-revert' => 'thlerisela',
610 'filehist-current' => 'Sweswinyana',
611 'filehist-datetime' => 'Siku/Nkarhi',
612 'filehist-thumb' => 'Xifanisonyana',
613 'filehist-thumbtext' => 'Xifaniso lexi tsongahatiweke kusukela hi $1',
614 'filehist-user' => 'Mutirhisi',
615 'filehist-dimensions' => 'Mpimo',
616 'filehist-comment' => 'Nhlamulo',
617 'imagelinks' => 'Ntsengo wakutirhisiwa ka fiyili leyi',
618 'linkstoimage' => ' {{PLURAL:$1|tluka leri rikhekela|$1 matluka lawa makhwekela}} eka fayili leyi:',
619 'nolinkstoimage' => 'Kuhava tluka leri khwekelaka eka fayili leyi',
620 'sharedupload-desc-here' => "Fayili leyi yi suka e $1 naswona swinga endleka leswaku yi tirhisiwa hiti phurojeki tin'wanana.
621 Nhlamuselo ya yona leyi nge ndzeni ka [$2 tluka ro hlamusela] hi yona leyi kombiweke ehansi.",
622
623 # Random page
624 'randompage' => "Tluka rin'wana na rin'wana",
625
626 # Statistics
627 'statistics' => 'Mintsengo',
628
629 # Miscellaneous special pages
630 'nbytes' => '$1 {{PLURAL:$1|wa bayiti|wa tibayit}}',
631 'nmembers' => '$1 {{PLURAL:$1|museketeri| wa vaseketeri}}',
632 'prefixindex' => 'Matluka hinkwawo lama sungulaka hi',
633 'usercreated' => '{{GENDER:$3|u tumbuluxe}} hi siku ra $1 hinkarhi wa $2',
634 'newpages' => 'Matluka mantswa',
635 'move' => 'Yi sa kunwana',
636 'movethispage' => 'Yisa tluka leri ndhzawini yinwana',
637 'pager-newer-n' => '{{PLURAL:$1|xa khale|$1 swa khale}}',
638 'pager-older-n' => '{{PLURAL:$1|ra khale|$1 ya khale}}',
639
640 # Book sources
641 'booksources' => 'Swihlovo swatibuku',
642 'booksources-search-legend' => 'Lavalava swihlovo swa tibuku',
643 'booksources-go' => 'Nghena',
644
645 # Special:Log
646 'specialloguserlabel' => 'Mutirhisi:',
647 'log' => 'Nghula ya minxaxamelo',
648
649 # Special:AllPages
650 'allpages' => 'Matluka hinkwawo',
651 'alphaindexline' => '$1 kuya fika eka $2',
652 'allarticles' => 'Matluka hinkwawo',
653 'allpagessubmit' => 'Nghena',
654
655 # Special:Categories
656 'categories' => 'Mintlawa',
657
658 # Special:LinkSearch
659 'linksearch-ok' => 'Lava',
660 'linksearch-line' => '$1 yi khwekerisiwe kusuka eka $2',
661
662 # Special:ListGroupRights
663 'listgrouprights-members' => '(nxaxamelo wa valandzeri)',
664
665 # Email user
666 'emailuser' => 'rhumela mutirhisi loyi E-mail',
667
668 # Watchlist
669 'watchlist' => 'Leswi ndziswilanguteke',
670 'mywatchlist' => 'Leswi ndziswilanguteke',
671 'watchlistfor2' => 'Swa $1 $2',
672 'watch' => 'Languta',
673 'watchthispage' => 'Languta tluka leri',
674 'unwatch' => 'Ungalanguti',
675 'watchlist-details' => '{{PLURAL:$1|$1 tluka|$1 wa matluka}} eka nxaxamelo wa leswi uswilanguteke, kungasi hlayiwa matluka yu mbulavulo.',
676 'wlshowlast' => 'Komba $1 wati awara $2 wa masiku kumbe $3',
677 'watchlist-options' => 'Minhlawulo ya nxaxamelo wa leswilangutiweke',
678
679 # Displayed when you click the "watch" button and it is in the process of watching
680 'watching' => 'Ulangutile...',
681 'unwatching' => 'Utshika ku languta...',
682
683 # Delete
684 'actioncomplete' => 'Swiendlekile',
685 'actionfailed' => 'Switsandzile',
686 'dellogpage' => 'Nghula ya matluka lama suriweke',
687
688 # Rollback
689 'rollbacklink' => 'thlerisela ku cinca',
690
691 # Protect
692 'protectlogpage' => 'Nghula ya minxaxamelo ya matsalwa lama sirheleriweke',
693 'protectedarticle' => '"[[$1]]" risirheleriwile',
694
695 # Undelete
696 'undeletelink' => 'Langutisa/thlerisela',
697 'undeleteviewlink' => 'Langutisa',
698
699 # Namespace form on various pages
700 'namespace' => 'Swikhomela viti',
701 'invert' => 'Invert selection',
702 'blanknamespace' => '(Ntsindza)',
703
704 # Contributions
705 'contributions' => 'Minyikelo ya mutirhisi',
706 'contributions-title' => 'Minyikelo ya vutirhisi ya $1',
707 'mycontris' => 'Minyikelo ya mina',
708 'contribsub2' => 'For $1 ($2)',
709 'nocontribs' => 'Ku hava ku cinca loku kumiweke eka xiyenge lexi.',
710 'uctop' => '(Henhla)',
711 'month' => 'Kusukela e ka nhweti ya (kuya endhzaku):',
712 'year' => 'Ku sukela e ka lembe ra (kuya endhzaku):',
713
714 'sp-contributions-newbies' => 'Komba minyikela ya ti akhawunti tintswa ntsena',
715 'sp-contributions-newbies-sub' => 'Eka ti akhawunti ti ntswa',
716 'sp-contributions-blocklog' => 'Ngula ya nxaxamelo wa kusivela',
717 'sp-contributions-uploads' => 'Nxaxamelo wa ku nghenisa',
718 'sp-contributions-logs' => 'Nghula ya nxaxamelo',
719 'sp-contributions-talk' => 'Mbulavulo',
720 'sp-contributions-search' => 'Lava minyikelo',
721 'sp-contributions-username' => 'Hoxa Direse ya IP kumbe vito ra mutirhisi:',
722 'sp-contributions-toponly' => 'Komba ntsena mindzulamiso leyi yinga haku endliwa sweswinyana',
723 'sp-contributions-submit' => 'Lava',
724
725 # What links here
726 'whatlinkshere' => 'Leswi khwekelaka laha',
727 'whatlinkshere-title' => 'Matluka lama khwekelaka eka $1',
728 'whatlinkshere-page' => 'Tluka:',
729 'linkshere' => "Matluka lama landzelaka makhwekela eka '''[[:$1]]''':",
730 'nolinkshere' => "Kuhava matluka lama khwekelaka eka '''[[:$1]]'''.",
731 'isredirect' => 'Tluka ro kongomisa',
732 'istemplate' => 'Swisivela ndhzawu',
733 'isimage' => 'Xikhwekerisi xa fayili',
734 'whatlinkshere-prev' => '{{PLURAL:$1|leri hundzeka| $1 lama hundzeke}}',
735 'whatlinkshere-next' => '{{PLURAL:$1|lowu landzelaka| $1 leyi landzelaka}}',
736 'whatlinkshere-links' => '← Swikhwekerisi',
737 'whatlinkshere-hideredirs' => '$1 ya matluka yo thlerisela',
738 'whatlinkshere-hidetrans' => '$1 wa swisivela ndhzawu',
739 'whatlinkshere-hidelinks' => '$1 wa swikhwekeri',
740 'whatlinkshere-hideimages' => '$1 swikhwekerisi saw xifaniso',
741 'whatlinkshere-filters' => 'Tinhlelo',
742
743 # Block/unblock
744 'ipboptions' => "Ti awara timbirhi:2 hours,Siku rin'we:1 day,Masiku manharhu:3 days,Vhiki rin'we:1 week,Mavhiki manbirhi:2 weeks,Nhweti yin'we:1 month,Tinwheti tinharhu:3 months,Tinhweti ta ntsevu:6 months,Lembe rin'we:1 year,hilaha kungaheriki:infinite",
745 'ipblocklist' => 'Vatirhisi lava siveriweke',
746 'blocklink' => 'Sivela',
747 'unblocklink' => 'Cinca kusivela',
748 'change-blocklink' => 'Cinca xirhapa',
749 'contribslink' => 'Minyikelo',
750 'blocklogpage' => 'Ngula ya nxaxamelo wa kusiverwa ka vatirhisi',
751 'blocklogentry' => 'Nsivelo wa mutirhisi [[$1]] wu hela hi $2 $3',
752 'block-log-flags-nocreate' => 'Kupfula akhawunti swa arisiwa',
753
754 # Move page
755 'movelogpage' => 'Nghula ya nxaxamelo waku susiwa',
756 'revertmove' => 'thlerisela',
757
758 # Export
759 'export' => 'Rhumela matluka ehandle ka wiki',
760
761 # Namespace 8 related
762 'allmessagesname' => 'Vito',
763 'allmessagesdefault' => 'Tsalwa-hungu leri tolovelekeke',
764
765 # Thumbnails
766 'thumbnail-more' => 'Kurisa',
767 'thumbnail_error' => 'Kuvena xihoxo ekutumbuluxiweni ka xifaniso-ntongo hkwalaho ka: $1',
768
769 # Tooltip help for the actions
770 'tooltip-pt-userpage' => 'Tluka ra wena ra vutirhisi',
771 'tooltip-pt-mytalk' => 'Tluka ro vulavula ra wena',
772 'tooltip-pt-preferences' => 'Minyikelo ya mina',
773 'tooltip-pt-watchlist' => 'Nxaxamelo wa matluka lawa umalanguteleke kucinca',
774 'tooltip-pt-mycontris' => 'Nxaxamelo wa minyikelo hinkwayo ya wena',
775 'tooltip-pt-login' => 'Utsundzuxiwa ku pfula unghena; hambiswiritano, aswi bohi',
776 'tooltip-pt-logout' => 'pfala u famba',
777 'tooltip-ca-talk' => 'Mbulavuriswano hi tluka',
778 'tooltip-ca-edit' => 'Unga lulamisa tluka leri. Ukomberiwa ku komba kuringanisa ka ku cinca ka wena ungasi rihlayisa',
779 'tooltip-ca-addsection' => 'Sungula xiyenge lexinthswa',
780 'tooltip-ca-viewsource' => 'Papila leri risirheleriwile.
781 Unga vona xit\\holvo xa rona',
782 'tooltip-ca-history' => 'Mindzulamiso yakhale ya tluka leri',
783 'tooltip-ca-protect' => 'Sirhelela tluka leri',
784 'tooltip-ca-delete' => 'Sula tluka leri',
785 'tooltip-ca-move' => 'Veka tluka endhzawini yinwana',
786 'tooltip-ca-watch' => 'Hoxa tluka leri eka leswi uswilanguteke',
787 'tooltip-ca-unwatch' => 'Susa tluka leri eka leswi uswi languteke',
788 'tooltip-search' => 'Lavisisa {{SITENAME}}',
789 'tooltip-search-go' => 'Yana eka tluka leri fanaka na viti leri loko ririkona',
790 'tooltip-search-fulltext' => 'Lavisisa riviti leri eka matluka lawa',
791 'tooltip-p-logo' => 'Vhakela tluka-nkulu',
792 'tooltip-n-mainpage' => 'Endzela tlukankulu',
793 'tooltip-n-mainpage-description' => 'Vhakela tlukankulu',
794 'tooltip-n-portal' => 'Leswi engetelekeke hi phurojeki leyi, leswi undla swi endlaka, laha unga kumana kona switirhisiwa',
795 'tooltip-n-currentevents' => 'Kuma vuxokoxoko hi leswi endlekaka sweswi',
796 'tooltip-n-recentchanges' => 'Nxaxamelo wa kucinca ka sweswinyana eka wiki',
797 'tooltip-n-randompage' => "Vona tluka rin'wana na rin'wana",
798 'tooltip-n-help' => 'Ndzawu yo twisisa leswi',
799 'tooltip-t-whatlinkshere' => 'Nxaxamelo wa matluka lama khwekelaka laha',
800 'tooltip-t-recentchangeslinked' => 'Kucinca kasweswinyana ka matluka la ma thlavinyetiweke eka tluka leri',
801 'tooltip-feed-atom' => 'Vuhaxi bya Atom bya tluka leri',
802 'tooltip-t-contributions' => 'Nxaxamelo wa minyikelo ya mutirhisi loyi',
803 'tooltip-t-emailuser' => 'Rhumela mutirhisa loyi e-mail',
804 'tooltip-t-upload' => 'Khandziyisa tifayili',
805 'tooltip-t-specialpages' => 'Nxaxamelo wa matluka yo hlawuleka',
806 'tooltip-t-print' => 'Gangliso wa tluka leri',
807 'tooltip-t-permalink' => 'Xithlavinyeti xa nkarhi hinkwawo xa ndzulamiso wa tluka',
808 'tooltip-ca-nstab-main' => 'Langutisa tluka ra matsalwa',
809 'tooltip-ca-nstab-user' => 'Vona tluka ra mutirhisi',
810 'tooltip-ca-nstab-special' => 'Tluka leri rihlawulekile, awu pfumeleriwanga ku endla ndzulamiso eka rona hikukongoma',
811 'tooltip-ca-nstab-project' => 'Vona tluka ra phurojeki',
812 'tooltip-ca-nstab-image' => 'Vona tluka ra fayili leyi',
813 'tooltip-ca-nstab-template' => 'Langutisa xivumbiwa-ntirho',
814 'tooltip-ca-nstab-category' => 'Langutisa tluka ra ntlawa',
815 'tooltip-minoredit' => 'Fungha ndzulamiso lowu wuri lowu tsanana',
816 'tooltip-save' => 'Hlayiso ku cinca ka wena',
817 'tooltip-preview' => 'Ringanisa ku cinca loku uku endleke, Tirhisa Xitirhisiwa lexi ungasi hlayisa tluka leri!',
818 'tooltip-diff' => 'Komba kucinca loku uku endleke aka xivulwa',
819 'tooltip-compareselectedversions' => 'Vona kuhambana exikarhi ka mindzulamiso leyi uyilangeke ya tluka leri',
820 'tooltip-watch' => 'Hoxa tluka leri eka nxaxamelo wa matluka lawa umalanguteke',
821 'tooltip-rollback' => 'Xirhangi "Thlerisela" xita thlerisela ku cinca lokuendliweke hi mutirhisi wo hetelela eka tluka leri hi ku thlava kan\'we',
822 'tooltip-undo' => 'xi angi "thlerisela" xithlerisela ndzulamiso lowu naswona xi pfula foromo yo lulamisa eka matsamelo yo ringanisa ndzulamiso. Yipfumela leswaku u engetela xivangela xa ndzulamiso lowu eka nkomiso.',
823 'tooltip-summary' => 'Tsala nkomiso',
824
825 # Browsing diffs
826 'previousdiff' => '← Ndzulamiso wakhale',
827 'nextdiff' => 'Ndzulamiso lowu ntswa →',
828
829 # Media information
830 'file-info-size' => '$1 × $2 ku anama na leha hi ti phikisele, Vukulu bya fayili: $3, muxaka waMIME: $4',
831 'file-nohires' => 'Xifaniso lexi axikuriseki kuhundza laha.',
832 'svg-long-desc' => 'Fayili ya SVG, vukulu lebyi ringaneke $1 × $2 hi ti phikisele, vukulu bya fayili: $3',
833 'show-big-image' => 'kuleha na ku anama hixitalo',
834
835 # Bad image list
836 'bad_image_list' => 'Minxaxamelo leyi landzelaka yi andlariwe hindlela leyi:
837
838 nxaxamelo wa mintila (Mintila leyi sungulaka hi *) ntsena le yi kombiwaka.
839 Xithlavinyeti xo sungula eka ntila i xithlavinyeta fayili leyi onhiweke.
840 Swithlavinyeti leswi engetelekeke eka ntila lowu fanaka swilangutiwa swiri swihambukisi, hileswaku matluka lawa fiyili yinga kumekaka kona endzeni.',
841
842 # Metadata
843 'metadata' => 'Nghula ya vuxokoxoko',
844 'metadata-help' => 'Fayili leyi yi khome vuxokoxoko lebyi engetelekeke, swingaendleka yi hoxiwile kusuka eka Khemera kumbe muchini wo gandlisa lowu tirhisiweke ku yi tumbuluxa.
845 Loko fayili yi antswisiwile kusukela eka matshamelo ya yona yo sungula, vuxokoxoko bya yona byinga va byi cincile.',
846 'metadata-fields' => "Vuxokoxoko bya xifaniso lexi nga eka hungu leri byi ta kombiwa eka tluka leri kombaka xifaniso lexi loko tafula ra nxaxamela wa vuxokoxoko ri pfariwa.
847 Lebyi n'wana vuxokoxoko bya finiso byitumbetiwile.
848 * make
849 * model
850 * datetimeoriginal
851 * exposuretime
852 * fnumber
853 * isospeedratings
854 * focallength
855 * artist
856 * copyright
857 * imagedescription
858 * gpslatitude
859 * gpslongitude
860 * gpsaltitude",
861
862 # 'all' in various places, this might be different for inflected languages
863 'watchlistall2' => 'Hinkwawo',
864 'namespacesall' => 'Hinkwawo',
865 'monthsall' => 'hikwato',
866
867 # Watchlist editing tools
868 'watchlisttools-view' => 'Vona kucinca loku yelanaka',
869 'watchlisttools-edit' => 'Langutisa naswona u lulamisa nxaxamelo wa leswilangutiweke',
870 'watchlisttools-raw' => 'Lulamisa nxaxamelo-mbisi wa leswilangutiweke',
871
872 # Core parser functions
873 'duplicate-defaultsort' => '\'\'\'Tivonele\'\'\' mpfungulo wo hluta wa "$2" wu rhangela lowa "$1"',
874
875 # Special:SpecialPages
876 'specialpages' => 'Matluka yo hlawuleka',
877
878 # External image whitelist
879 'external_image_whitelist' => ' #Tshika ntila lowu wunga cinciwanga<pre>
880 #Hoxa hlamuselo lowu tolovelekeke (xiphemu lexi nga exikarhi ka //) laha hansi
881 #Swita hlanganisiwa na tiURL to swifaniso swa le handle (leswi khwekerisiweke)
882 #Leswi yelanaka swi ta kombiwa swiri swifaniso, lokoswingaritano kuta kombiwa ntsena swikhwekerisi swa xifaniso
883 #Mintila leyi sungulaka # yivona kuri ma vonele/nlhamulo
884 #Xi lava marito lama xaxametiweke hi marito-nkulu na lama tsongo
885
886 #hoxa swiphemu hinkwaswo swa regex ehenhla ka ntilalowu. Tshika ntila lowu wuri leswi wunga xiswona</pre>',
887
888 # Special:Tags
889 'tag-filter' => 'Xihluti xa [[Special:Tags|Xi angi]]:',
890
891 # Special:ExpandTemplates
892 'expand_templates_ok' => 'Hiswona',
893 'expand_templates_preview' => 'Ringanisa',
894
895 );